URL
https://opencores.org/ocsvn/yavga/yavga/trunk
[/] [yavga/] [trunk/] [vhdl/] [chars_RAM.vhd] - Diff between revs 23 and 28
Go to most recent revision |
Show entire file |
Details |
Blame |
View Log
Rev 23 |
Rev 28 |
Line 51... |
Line 51... |
library IEEE;
|
library IEEE;
|
use IEEE.STD_LOGIC_1164.all;
|
use IEEE.STD_LOGIC_1164.all;
|
use IEEE.STD_LOGIC_ARITH.all;
|
use IEEE.STD_LOGIC_ARITH.all;
|
use IEEE.STD_LOGIC_UNSIGNED.all;
|
use IEEE.STD_LOGIC_UNSIGNED.all;
|
|
|
|
use work.yavga_pkg.all;
|
|
|
-- Uncomment the following lines to use the declarations that are
|
-- Uncomment the following lines to use the declarations that are
|
-- provided for instantiating Xilinx primitive components.
|
-- provided for instantiating Xilinx primitive components.
|
library UNISIM;
|
library UNISIM;
|
use UNISIM.VComponents.all;
|
use UNISIM.VComponents.all;
|
|
|
entity chars_RAM is
|
entity chars_RAM is
|
port (
|
port (
|
i_clock_rw : in std_logic; -- Write Clock
|
i_clock_rw : in std_logic; -- Write Clock
|
i_EN_rw : in std_logic; -- Write RAM Enable Input
|
i_EN_rw : in std_logic; -- Write RAM Enable Input
|
i_WE_rw : in std_logic_vector(3 downto 0); -- Write Enable Input
|
i_WE_rw : in std_logic_vector(c_CHR_WE_BUS_W - 1 downto 0); -- Write Enable Input
|
i_ADDR_rw : in std_logic_vector(10 downto 0); -- Write 11-bit Address Input
|
i_ADDR_rw : in std_logic_vector(10 downto 0); -- Write 11-bit Address Input
|
i_DI_rw : in std_logic_vector(31 downto 0); -- Write 32-bit Data Input
|
i_DI_rw : in std_logic_vector(31 downto 0); -- Write 32-bit Data Input
|
o_DI_rw : out std_logic_vector(31 downto 0); -- Write 32-bit Data Input
|
o_DI_rw : out std_logic_vector(31 downto 0); -- Write 32-bit Data Input
|
|
|
i_SSR : in std_logic; -- Synchronous Set/Reset Input
|
i_SSR : in std_logic; -- Synchronous Set/Reset Input
|
© copyright 1999-2024
OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.