URL
https://opencores.org/ocsvn/yifive/yifive/trunk
Show entire file |
Details |
Blame |
View Log
Rev 2 |
Rev 22 |
Line 47... |
Line 47... |
initial begin
|
initial begin
|
clock = 0;
|
clock = 0;
|
end
|
end
|
|
|
initial begin
|
initial begin
|
|
`ifdef WFDUMP
|
$dumpfile("io_ports.vcd");
|
$dumpfile("io_ports.vcd");
|
$dumpvars(0, io_ports_tb);
|
$dumpvars(0, io_ports_tb);
|
|
`endif
|
|
|
// Repeat cycles of 1000 clock edges as needed to complete testbench
|
// Repeat cycles of 1000 clock edges as needed to complete testbench
|
repeat (25) begin
|
repeat (25) begin
|
repeat (1000) @(posedge clock);
|
repeat (1000) @(posedge clock);
|
// $display("+1000 cycles");
|
// $display("+1000 cycles");
|
© copyright 1999-2025
OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.