Line 35... |
Line 35... |
signal font_row_sig : std_logic_vector(2 downto 0);
|
signal font_row_sig : std_logic_vector(2 downto 0);
|
signal font_col_sig : std_logic_vector(2 downto 0);
|
signal font_col_sig : std_logic_vector(2 downto 0);
|
signal pixel_sig : std_logic;
|
signal pixel_sig : std_logic;
|
signal video_on_sig : std_logic;
|
signal video_on_sig : std_logic;
|
|
|
BEGIN -- ARQUITECTURE
|
BEGIN
|
|
|
VGA_R_sig <= "0000";
|
VGA_R_sig <= "0000";
|
VGA_G_sig <= "0000";
|
VGA_G_sig <= "0000";
|
VGA_B_sig <= pixel_sig & pixel_sig & pixel_sig & pixel_sig;
|
VGA_B_sig <= pixel_sig & pixel_sig & pixel_sig & pixel_sig;
|
|
|
VRAM_WREN <= video_on_sig;
|
VRAM_WREN <= video_on_sig;
|
VRAM_CLOCK <= pixel_clock_sig;
|
VRAM_CLOCK <= pixel_clock_sig;
|
VRAM_ADDR <= (pixel_row_sig(9 downto 4) * "0101000" + pixel_column_sig(9 downto 4));
|
VRAM_ADDR <= (pixel_row_sig(9 downto 4) * "0101000" + pixel_column_sig(9 downto 4));
|
|
|
-- Fonts ROM read
|
-- Fonts ROM read
|
char_addr_sig <= VRAM_DATA - x"40" when (VRAM_DATA <= x"5B") else x"20";
|
char_addr_sig <= VRAM_DATA;
|
font_row_sig(2 downto 0) <= pixel_row_sig(3 downto 1);
|
font_row_sig(2 downto 0) <= pixel_row_sig(3 downto 1);
|
font_col_sig(2 downto 0) <= pixel_column_sig(3 downto 1);
|
font_col_sig(2 downto 0) <= pixel_column_sig(3 downto 1);
|
|
|
vga_sync_inst : work.vga_sync
|
vga_sync_inst : work.vga_sync
|
port map (
|
port map (
|
Line 70... |
Line 70... |
);
|
);
|
|
|
char_rom_inst : work.char_rom
|
char_rom_inst : work.char_rom
|
port map (
|
port map (
|
clock => pixel_clock_sig,
|
clock => pixel_clock_sig,
|
character_address => char_addr_sig(5 downto 0),
|
character_address => char_addr_sig,
|
font_row => font_row_sig,
|
font_row => font_row_sig,
|
font_col => font_col_sig,
|
font_col => font_col_sig,
|
rom_mux_output => pixel_sig
|
rom_mux_output => pixel_sig
|
);
|
);
|
|
|
END A; -- ARQUITECTURE
|
END A;
|
|
|
No newline at end of file
|
No newline at end of file
|