OpenCores
URL https://opencores.org/ocsvn/6809_6309_compatible_core/6809_6309_compatible_core/trunk

Subversion Repositories 6809_6309_compatible_core

[/] [6809_6309_compatible_core/] [trunk/] [sim/] [p6809.out] - Diff between revs 9 and 10

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 9 Rev 10
Line 4... Line 4...
:vpi_time_precision - 9;
:vpi_time_precision - 9;
:vpi_module "system";
:vpi_module "system";
:vpi_module "vhdl_sys";
:vpi_module "vhdl_sys";
:vpi_module "v2005_math";
:vpi_module "v2005_math";
:vpi_module "va_math";
:vpi_module "va_math";
S_0x12e5f60 .scope module, "tb" "tb" 2 8;
S_0xa4cca0 .scope module, "tb" "tb" 2 8;
 .timescale -9 -9;
 .timescale -9 -9;
    .port_info 0 /OUTPUT 16 "addr_o"
    .port_info 0 /OUTPUT 16 "addr_o"
    .port_info 1 /OUTPUT 8 "data_o_o"
    .port_info 1 /OUTPUT 8 "data_o_o"
L_0x170fa80 .functor BUFZ 16, v0x130bb60_0, C4<0000000000000000>, C4<0000000000000000>, C4<0000000000000000>;
L_0xe76960 .functor BUFZ 16, v0xa72930_0, C4<0000000000000000>, C4<0000000000000000>, C4<0000000000000000>;
L_0x170faf0 .functor BUFZ 8, v0x130bc00_0, C4<00000000>, C4<00000000>, C4<00000000>;
L_0xe769d0 .functor BUFZ 8, v0xa729d0_0, C4<00000000>, C4<00000000>, C4<00000000>;
v0x170f260_0 .net "addr", 15 0, v0x130bb60_0;  1 drivers
v0xe76140_0 .net "addr", 15 0, v0xa72930_0;  1 drivers
v0x170f340_0 .net "addr_o", 15 0, L_0x170fa80;  1 drivers
v0xe76220_0 .net "addr_o", 15 0, L_0xe76960;  1 drivers
v0x170f420_0 .var "clk", 0 0;
v0xe76300_0 .var "clk", 0 0;
v0x170f4c0_0 .net "data_i", 7 0, L_0x1728350;  1 drivers
v0xe763a0_0 .net "data_i", 7 0, L_0xe8f3d0;  1 drivers
v0x170f5b0_0 .net "data_o", 7 0, v0x130bc00_0;  1 drivers
v0xe76490_0 .net "data_o", 7 0, v0xa729d0_0;  1 drivers
v0x170f710_0 .net "data_o_o", 7 0, L_0x170faf0;  1 drivers
v0xe765f0_0 .net "data_o_o", 7 0, L_0xe769d0;  1 drivers
v0x170f7f0_0 .net "oe", 0 0, v0x130bca0_0;  1 drivers
v0xe766d0_0 .net "oe", 0 0, v0xa72a70_0;  1 drivers
v0x170f890_0 .var "reset", 0 0;
v0xe76770_0 .var "reset", 0 0;
v0x170f930_0 .net "we", 0 0, v0x130bd40_0;  1 drivers
v0xe76810_0 .net "we", 0 0, v0xa72b10_0;  1 drivers
L_0x17283f0 .reduce/nor v0x130bca0_0;
L_0xe8f470 .reduce/nor v0xa72a70_0;
L_0x17284c0 .reduce/nor v0x130bd40_0;
L_0xe8f540 .reduce/nor v0xa72b10_0;
S_0x12338f0 .scope module, "cpu" "MC6809_cpu" 2 20, 3 10 0, S_0x12e5f60;
S_0x86ef90 .scope module, "cpu" "MC6809_cpu" 2 20, 3 10 0, S_0xa4cca0;
 .timescale -9 -9;
 .timescale -9 -9;
    .port_info 0 /INPUT 1 "cpu_clk"
    .port_info 0 /INPUT 1 "cpu_clk"
    .port_info 1 /INPUT 1 "cpu_reset"
    .port_info 1 /INPUT 1 "cpu_reset"
    .port_info 2 /INPUT 1 "cpu_nmi_n"
    .port_info 2 /INPUT 1 "cpu_nmi_n"
    .port_info 3 /INPUT 1 "cpu_irq_n"
    .port_info 3 /INPUT 1 "cpu_irq_n"
Line 34... Line 34...
    .port_info 6 /OUTPUT 1 "cpu_we_o"
    .port_info 6 /OUTPUT 1 "cpu_we_o"
    .port_info 7 /OUTPUT 1 "cpu_oe_o"
    .port_info 7 /OUTPUT 1 "cpu_oe_o"
    .port_info 8 /OUTPUT 16 "cpu_addr_o"
    .port_info 8 /OUTPUT 16 "cpu_addr_o"
    .port_info 9 /INPUT 8 "cpu_data_i"
    .port_info 9 /INPUT 8 "cpu_data_i"
    .port_info 10 /OUTPUT 8 "cpu_data_o"
    .port_info 10 /OUTPUT 8 "cpu_data_o"
L_0x170fd50 .functor AND 1, L_0x170fb80, L_0x170fc80, C4<1>, C4<1>;
    .port_info 11 /INPUT 1 "debug_clk"
L_0x1710020 .functor AND 1, L_0x170fe60, L_0x170ff00, C4<1>, C4<1>;
    .port_info 12 /OUTPUT 1 "debug_data_o"
L_0x1710360 .functor AND 1, L_0x1710160, L_0x1710200, C4<1>, C4<1>;
L_0xe76c30 .functor AND 1, L_0xe76a60, L_0xe76b60, C4<1>, C4<1>;
L_0x1726e20 .functor AND 1, L_0x1727af0, L_0x1726c80, C4<1>, C4<1>;
L_0xe76f00 .functor AND 1, L_0xe76d40, L_0xe76de0, C4<1>, C4<1>;
L_0x1728000 .functor BUFZ 1, v0x170f890_0, C4<0>, C4<0>, C4<0>;
L_0xe77240 .functor AND 1, L_0xe77040, L_0xe770e0, C4<1>, C4<1>;
L_0x1728070 .functor BUFZ 6, v0x130e2f0_0, C4<000000>, C4<000000>, C4<000000>;
L_0xe8ded0 .functor AND 1, L_0xe8eae0, L_0xe7a3b0, C4<1>, C4<1>;
v0x1309350_0 .net *"_s1", 0 0, L_0x170fb80;  1 drivers
L_0xe8eff0 .functor BUFZ 1, v0xe76770_0, C4<0>, C4<0>, C4<0>;
v0x1309450_0 .net *"_s13", 0 0, L_0x1710160;  1 drivers
L_0xe8f060 .functor BUFZ 6, v0xa750a0_0, C4<000000>, C4<000000>, C4<000000>;
v0x1309530_0 .net *"_s15", 0 0, L_0x1710200;  1 drivers
v0xa6ffa0_0 .net *"_s1", 0 0, L_0xe76a60;  1 drivers
v0x13095f0_0 .net *"_s22", 8 0, L_0x1726b90;  1 drivers
v0xa700a0_0 .net *"_s13", 0 0, L_0xe77040;  1 drivers
L_0x7f9fafafa408 .functor BUFT 1, C4<000>, C4<0>, C4<0>, C4<0>;
v0xa70180_0 .net *"_s15", 0 0, L_0xe770e0;  1 drivers
v0x13096d0_0 .net *"_s25", 2 0, L_0x7f9fafafa408;  1 drivers
v0xa70240_0 .net *"_s24", 8 0, L_0xe8dbd0;  1 drivers
L_0x7f9fafafa450 .functor BUFT 1, C4<000010010>, C4<0>, C4<0>, C4<0>;
L_0x7f602e81f498 .functor BUFT 1, C4<000>, C4<0>, C4<0>, C4<0>;
v0x1309800_0 .net/2u *"_s26", 8 0, L_0x7f9fafafa450;  1 drivers
v0xa70320_0 .net *"_s27", 2 0, L_0x7f602e81f498;  1 drivers
v0x13098e0_0 .net *"_s28", 0 0, L_0x1726c80;  1 drivers
L_0x7f602e81f4e0 .functor BUFT 1, C4<000010010>, C4<0>, C4<0>, C4<0>;
v0x13099a0_0 .net *"_s3", 0 0, L_0x170fc80;  1 drivers
v0xa70450_0 .net/2u *"_s28", 8 0, L_0x7f602e81f4e0;  1 drivers
v0x1309a80_0 .net *"_s7", 0 0, L_0x170fe60;  1 drivers
v0xa70530_0 .net *"_s3", 0 0, L_0xe76b60;  1 drivers
v0x1309bf0_0 .net *"_s9", 0 0, L_0x170ff00;  1 drivers
v0xa70610_0 .net *"_s30", 0 0, L_0xe7a3b0;  1 drivers
v0x1309cd0_0 .net "alu_o_CCR", 7 0, v0x12ff4f0_0;  1 drivers
v0xa706d0_0 .net *"_s7", 0 0, L_0xe76d40;  1 drivers
v0x1309d90_0 .net "alu_o_result", 15 0, v0x12ffd00_0;  1 drivers
v0xa70840_0 .net *"_s9", 0 0, L_0xe76de0;  1 drivers
v0x1309e50_0 .net "cpu_addr_o", 15 0, v0x130bb60_0;  alias, 1 drivers
v0xa70920_0 .net "alu_o_CCR", 7 0, v0xa66140_0;  1 drivers
v0x1309f10_0 .net "cpu_clk", 0 0, v0x170f420_0;  1 drivers
v0xa709e0_0 .net "alu_o_result", 15 0, v0xa66950_0;  1 drivers
v0x1309fb0_0 .net "cpu_data_i", 7 0, L_0x1728350;  alias, 1 drivers
v0xa70aa0_0 .net "cpu_addr_o", 15 0, v0xa72930_0;  alias, 1 drivers
v0x130a090_0 .net "cpu_data_o", 7 0, v0x130bc00_0;  alias, 1 drivers
v0xa70b60_0 .net "cpu_clk", 0 0, v0xe76300_0;  1 drivers
o0x7f9fafed8638 .functor BUFZ 1, C4; HiZ drive
v0xa70c00_0 .net "cpu_data_i", 7 0, L_0xe8f3d0;  alias, 1 drivers
v0x130a170_0 .net "cpu_firq_n", 0 0, o0x7f9fafed8638;  0 drivers
v0xa70ce0_0 .net "cpu_data_o", 7 0, v0xa729d0_0;  alias, 1 drivers
o0x7f9fafed8668 .functor BUFZ 1, C4; HiZ drive
o0x7f602ebfd6c8 .functor BUFZ 1, C4; HiZ drive
v0x130a320_0 .net "cpu_irq_n", 0 0, o0x7f9fafed8668;  0 drivers
v0xa70dc0_0 .net "cpu_firq_n", 0 0, o0x7f602ebfd6c8;  0 drivers
o0x7f9fafed8698 .functor BUFZ 1, C4; HiZ drive
o0x7f602ebfd6f8 .functor BUFZ 1, C4; HiZ drive
v0x130a3c0_0 .net "cpu_nmi_n", 0 0, o0x7f9fafed8698;  0 drivers
v0xa70f70_0 .net "cpu_irq_n", 0 0, o0x7f602ebfd6f8;  0 drivers
v0x130a460_0 .net "cpu_oe_o", 0 0, v0x130bca0_0;  alias, 1 drivers
o0x7f602ebfd728 .functor BUFZ 1, C4; HiZ drive
v0x130a500_0 .net "cpu_reset", 0 0, v0x170f890_0;  1 drivers
v0xa71010_0 .net "cpu_nmi_n", 0 0, o0x7f602ebfd728;  0 drivers
v0x130a5c0_0 .net "cpu_state_o", 5 0, L_0x1728070;  1 drivers
v0xa710b0_0 .net "cpu_oe_o", 0 0, v0xa72a70_0;  alias, 1 drivers
v0x130a6a0_0 .net "cpu_we_o", 0 0, v0x130bd40_0;  alias, 1 drivers
v0xa71150_0 .net "cpu_reset", 0 0, v0xe76770_0;  1 drivers
v0x130a760_0 .var "datamux_o_alu_in_left_path_addr", 3 0;
v0xa71210_0 .net "cpu_state_o", 5 0, L_0xe8f060;  1 drivers
v0x130a820_0 .var "datamux_o_alu_in_left_path_data", 15 0;
v0xa712f0_0 .net "cpu_we_o", 0 0, v0xa72b10_0;  alias, 1 drivers
v0x130a8e0_0 .var "datamux_o_alu_in_right_path_data", 15 0;
v0xa713b0_0 .var "datamux_o_alu_in_left_path_addr", 3 0;
v0x130a9b0_0 .var "datamux_o_dest", 15 0;
v0xa71470_0 .var "datamux_o_alu_in_left_path_data", 15 0;
v0x130aa80_0 .var "datamux_o_dest_reg_addr", 3 0;
v0xa71530_0 .var "datamux_o_alu_in_right_path_data", 15 0;
v0x130ab50_0 .net "dec_o_alu_opcode", 4 0, v0x1300680_0;  1 drivers
v0xa71600_0 .var "datamux_o_dest", 15 0;
v0x130ac40_0 .net "dec_o_alu_size", 0 0, L_0x17274f0;  1 drivers
v0xa716d0_0 .var "datamux_o_dest_reg_addr", 3 0;
v0x130ad30_0 .net "dec_o_cond_taken", 0 0, v0x1308ee0_0;  1 drivers
o0x7f602ebfd818 .functor BUFZ 1, C4; HiZ drive
v0x130add0_0 .net "dec_o_dest_reg_addr", 3 0, v0x13032e0_0;  1 drivers
v0xa717a0_0 .net "debug_clk", 0 0, o0x7f602ebfd818;  0 drivers
v0x130ae70_0 .net "dec_o_ea_indirect", 0 0, L_0x1727910;  1 drivers
L_0x7f602e81f018 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
v0x130a240_0 .net "dec_o_ea_ofs0", 0 0, v0x1301640_0;  1 drivers
v0xa71840_0 .net "debug_data_o", 0 0, L_0x7f602e81f018;  1 drivers
v0x130b120_0 .net "dec_o_ea_ofs16", 0 0, v0x1301700_0;  1 drivers
v0xa71900_0 .net "dec_o_alu_opcode", 4 0, v0xa672d0_0;  1 drivers
v0x130b1f0_0 .net "dec_o_ea_ofs8", 0 0, v0x1301850_0;  1 drivers
v0xa71a10_0 .net "dec_o_alu_size", 0 0, L_0xe8e4e0;  1 drivers
v0x130b2c0_0 .net "dec_o_ea_wpost", 0 0, v0x1301910_0;  1 drivers
v0xa71b00_0 .net "dec_o_cond_taken", 0 0, v0xa6fb30_0;  1 drivers
v0x130b390_0 .net "dec_o_left_path_addr", 3 0, v0x13036f0_0;  1 drivers
v0xa70e60_0 .net "dec_o_dest_reg_addr", 3 0, v0xa69f30_0;  1 drivers
v0x130b460_0 .net "dec_o_p1_mode", 2 0, v0x1301da0_0;  1 drivers
v0xa71db0_0 .net "dec_o_ea_indirect", 0 0, L_0xe8e900;  1 drivers
v0x130b530_0 .net "dec_o_p1_optype", 2 0, v0x1302060_0;  1 drivers
v0xa71e50_0 .net "dec_o_ea_ofs0", 0 0, v0xa68290_0;  1 drivers
v0x130b600_0 .net "dec_o_right_path_addr", 3 0, v0x13038b0_0;  1 drivers
v0xa71ef0_0 .net "dec_o_ea_ofs16", 0 0, v0xa68350_0;  1 drivers
v0x130b6f0_0 .net "dec_o_right_path_mod", 1 0, v0x1300740_0;  1 drivers
v0xa71fc0_0 .net "dec_o_ea_ofs8", 0 0, v0xa684a0_0;  1 drivers
v0x130b790_0 .net "dec_o_source_size", 0 0, L_0x17270e0;  1 drivers
v0xa72090_0 .net "dec_o_ea_wpost", 0 0, v0xa68560_0;  1 drivers
v0x130b860_0 .net "dec_o_use_s", 0 0, v0x1302420_0;  1 drivers
v0xa72160_0 .net "dec_o_left_path_addr", 3 0, v0xa6a340_0;  1 drivers
v0x130b950_0 .net "dec_o_wdest", 0 0, L_0x1726ff0;  1 drivers
v0xa72230_0 .net "dec_o_p1_mode", 2 0, v0xa689f0_0;  1 drivers
v0x130b9f0_0 .net "dec_o_write_flags", 0 0, L_0x1727af0;  1 drivers
v0xa72300_0 .net "dec_o_p1_optype", 2 0, v0xa68cb0_0;  1 drivers
v0x130ba90_0 .var "k_clear_e", 0 0;
v0xa723d0_0 .net "dec_o_right_path_addr", 3 0, v0xa6a500_0;  1 drivers
v0x130bb60_0 .var "k_cpu_addr", 15 0;
v0xa724c0_0 .net "dec_o_right_path_mod", 1 0, v0xa67390_0;  1 drivers
v0x130bc00_0 .var "k_cpu_data_o", 7 0;
v0xa72560_0 .net "dec_o_source_size", 0 0, L_0xe8e0d0;  1 drivers
v0x130bca0_0 .var "k_cpu_oe", 0 0;
v0xa72630_0 .net "dec_o_use_s", 0 0, v0xa69070_0;  1 drivers
v0x130bd40_0 .var "k_cpu_we", 0 0;
v0xa72720_0 .net "dec_o_wdest", 0 0, L_0xe8df90;  1 drivers
v0x130bde0_0 .var "k_dec_su", 0 0;
v0xa727c0_0 .net "dec_o_write_flags", 0 0, L_0xe8eae0;  1 drivers
v0x130beb0_0 .var "k_eahi", 7 0;
v0xa72860_0 .var "k_clear_e", 0 0;
v0x130bf50_0 .var "k_ealo", 7 0;
v0xa72930_0 .var "k_cpu_addr", 15 0;
v0x130c010_0 .net "k_firq_req", 0 0, L_0x1710020;  1 drivers
v0xa729d0_0 .var "k_cpu_data_o", 7 0;
v0x130c0d0_0 .var "k_forced_mem_size", 0 0;
v0xa72a70_0 .var "k_cpu_oe", 0 0;
v0x130c190_0 .var "k_inc_pc", 0 0;
v0xa72b10_0 .var "k_cpu_we", 0 0;
v0x130c260_0 .var "k_inc_su", 0 0;
v0xa72bb0_0 .var "k_dec_su", 0 0;
v0x130c330_0 .var "k_ind_ea", 7 0;
v0xa72c80_0 .var "k_eahi", 7 0;
v0x130c3d0_0 .net "k_irq_req", 0 0, L_0x1710360;  1 drivers
v0xa72d20_0 .var "k_ealo", 7 0;
v0x130c470_0 .var "k_mem_dest", 1 0;
v0xa72de0_0 .net "k_firq_req", 0 0, L_0xe76f00;  1 drivers
v0x130c550_0 .var "k_memhi", 7 0;
v0xa72ea0_0 .var "k_forced_mem_size", 0 0;
v0x130c630_0 .var "k_memlo", 7 0;
v0xa72f60_0 .var "k_inc_pc", 0 0;
v0x130c710_0 .var "k_mul_cnt", 0 0;
v0xa73030_0 .var "k_inc_su", 0 0;
v0x130c7d0_0 .var "k_new_pc", 15 0;
v0xa73100_0 .var "k_ind_ea", 7 0;
v0x130af10_0 .net "k_nmi_req", 0 0, L_0x170fd50;  1 drivers
v0xa731a0_0 .net "k_irq_req", 0 0, L_0xe77240;  1 drivers
v0x130afb0_0 .var "k_ofshi", 7 0;
v0xa73240_0 .var "k_mem_dest", 1 0;
v0x130cc80_0 .var "k_ofslo", 7 0;
v0xa73320_0 .var "k_memhi", 7 0;
v0x130cd20_0 .var "k_opcode", 7 0;
v0xa73400_0 .var "k_memlo", 7 0;
v0x130ce50_0 .var "k_p2_valid", 0 0;
v0xa71ba0_0 .var "k_mul_cnt", 0 0;
v0x130cf80_0 .var "k_p3_valid", 0 0;
v0xa71c40_0 .var "k_new_pc", 15 0;
v0x130d020_0 .var "k_postbyte", 7 0;
v0xa738b0_0 .net "k_nmi_req", 0 0, L_0xe76c30;  1 drivers
v0x130d150_0 .var "k_pp_active_reg", 3 0;
v0xa73950_0 .var "k_ofshi", 7 0;
v0x130d230_0 .var "k_pp_regs", 7 0;
v0xa739f0_0 .var "k_ofslo", 7 0;
v0x130d310_0 .var "k_reg_firq", 2 0;
v0xa73a90_0 .var "k_opcode", 7 0;
v0x130d3f0_0 .var "k_reg_irq", 2 0;
v0xa73be0_0 .var "k_p2_valid", 0 0;
v0x130d4d0_0 .var "k_reg_nmi", 2 0;
v0xa73d10_0 .var "k_p3_valid", 0 0;
v0x130d5b0_0 .net "k_reset", 0 0, L_0x1728000;  1 drivers
v0xa73db0_0 .var "k_postbyte", 7 0;
v0x130d670_0 .var "k_set_e", 0 0;
v0xa73f00_0 .var "k_pp_active_reg", 3 0;
v0x130d740_0 .var "k_write_dest", 0 0;
v0xa73fe0_0 .var "k_pp_regs", 7 0;
v0x130d810_0 .var "k_write_exg", 0 0;
v0xa740c0_0 .var "k_reg_firq", 2 0;
v0x130d8e0_0 .var "k_write_pc", 0 0;
v0xa741a0_0 .var "k_reg_irq", 2 0;
v0x130d9b0_0 .var "k_write_post_incdec", 0 0;
v0xa74280_0 .var "k_reg_nmi", 2 0;
v0x130da80_0 .var "k_write_tfr", 0 0;
v0xa74360_0 .net "k_reset", 0 0, L_0xe8eff0;  1 drivers
v0x130db50_0 .var "next_mem_state", 5 0;
v0xa74420_0 .var "k_set_e", 0 0;
v0x130dbf0_0 .var "next_push_state", 5 0;
v0xa744f0_0 .var "k_write_dest", 0 0;
v0x130dc90_0 .var "next_state", 5 0;
v0xa745c0_0 .var "k_write_exg", 0 0;
v0x130dd30_0 .net "regs_o_CCR", 7 0, L_0x1715bb0;  1 drivers
v0xa74690_0 .var "k_write_pc", 0 0;
v0x130ddf0_0 .net "regs_o_dp", 7 0, L_0x1715e60;  1 drivers
v0xa74760_0 .var "k_write_post_incdec", 0 0;
v0x130dee0_0 .net "regs_o_eamem_addr", 15 0, L_0x1716230;  1 drivers
v0xa74830_0 .var "k_write_tfr", 0 0;
v0x130dfb0_0 .net "regs_o_left_path_data", 15 0, v0x13076c0_0;  1 drivers
v0xa74900_0 .var "next_mem_state", 5 0;
v0x130e080_0 .net "regs_o_pc", 15 0, L_0x1715da0;  1 drivers
v0xa749a0_0 .var "next_push_state", 5 0;
v0x130e150_0 .net "regs_o_right_path_data", 15 0, v0x1307890_0;  1 drivers
v0xa74a40_0 .var "next_state", 5 0;
v0x130e220_0 .net "regs_o_su", 15 0, L_0x1715f20;  1 drivers
v0xa74ae0_0 .net "regs_o_CCR", 7 0, L_0xe7cbe0;  1 drivers
v0x130e2f0_0 .var "state", 5 0;
v0xa74ba0_0 .net "regs_o_dp", 7 0, L_0xe7cda0;  1 drivers
E_0x12e2a50 .event posedge, v0x130d5b0_0, v0x12f5a10_0;
v0xa74c90_0 .net "regs_o_eamem_addr", 15 0, L_0xe7d170;  1 drivers
E_0x12e23b0/0 .event edge, v0x13038b0_0, v0x130c630_0, v0x130c550_0, v0x1300740_0;
v0xa74d60_0 .net "regs_o_left_path_data", 15 0, v0xa6e310_0;  1 drivers
E_0x12e23b0/1 .event edge, v0x1307890_0;
v0xa74e30_0 .net "regs_o_pc", 15 0, L_0xe7cce0;  1 drivers
E_0x12e23b0 .event/or E_0x12e23b0/0, E_0x12e23b0/1;
v0xa74f00_0 .net "regs_o_right_path_data", 15 0, v0xa6e4e0_0;  1 drivers
E_0x12b4530/0 .event edge, v0x130c550_0, v0x130c630_0, v0x1301da0_0, v0x1307b10_0;
v0xa74fd0_0 .net "regs_o_su", 15 0, L_0xe7ce60;  1 drivers
E_0x12b4530/1 .event edge, v0x130beb0_0, v0x130bf50_0, v0x1307a30_0, v0x1301580_0;
v0xa750a0_0 .var "state", 5 0;
E_0x12b4530/2 .event edge, v0x1306ad0_0;
E_0xa15c30 .event posedge, v0xa74360_0, v0xa5c3e0_0;
E_0x12b4530 .event/or E_0x12b4530/0, E_0x12b4530/1, E_0x12b4530/2;
E_0xa15bb0/0 .event edge, v0xa6a500_0, v0xa73400_0, v0xa73320_0, v0xa67390_0;
E_0x12b44b0/0 .event edge, v0x13036f0_0, v0x130c550_0, v0x130c630_0, v0x1302060_0;
E_0xa15bb0/1 .event edge, v0xa6e4e0_0;
E_0x12b44b0/1 .event edge, v0x1301580_0, v0x1306ad0_0, v0x13076c0_0;
E_0xa15bb0 .event/or E_0xa15bb0/0, E_0xa15bb0/1;
E_0x12b44b0 .event/or E_0x12b44b0/0, E_0x12b44b0/1;
E_0x9e1d90/0 .event edge, v0xa73320_0, v0xa73400_0, v0xa73240_0, v0xa689f0_0;
E_0x126afb0/0 .event edge, v0x12ffd00_0, v0x1302060_0, v0x130c550_0, v0x130c630_0;
E_0x9e1d90/1 .event edge, v0xa6e760_0, v0xa72c80_0, v0xa72d20_0, v0xa6e680_0;
E_0x126afb0/1 .event edge, v0x1301580_0, v0x1306ad0_0;
E_0x9e1d90/2 .event edge, v0xa681d0_0, v0xa6d720_0;
E_0x126afb0 .event/or E_0x126afb0/0, E_0x126afb0/1;
E_0x9e1d90 .event/or E_0x9e1d90/0, E_0x9e1d90/1, E_0x9e1d90/2;
E_0x1265410 .event edge, v0x130d150_0, v0x13032e0_0;
E_0x9fcee0/0 .event edge, v0xa6a340_0, v0xa73320_0, v0xa73400_0, v0xa68cb0_0;
E_0x1209ce0 .event edge, v0x130d150_0, v0x13036f0_0;
E_0x9fcee0/1 .event edge, v0xa681d0_0, v0xa6d720_0, v0xa6e310_0;
L_0x170fb80 .part v0x130d4d0_0, 2, 1;
E_0x9fcee0 .event/or E_0x9fcee0/0, E_0x9fcee0/1;
L_0x170fc80 .part v0x130d4d0_0, 1, 1;
E_0x99cdc0/0 .event edge, v0xa66950_0, v0xa68cb0_0, v0xa73320_0, v0xa73400_0;
L_0x170fe60 .part v0x130d310_0, 2, 1;
E_0x99cdc0/1 .event edge, v0xa681d0_0, v0xa6d720_0;
L_0x170ff00 .part v0x130d310_0, 1, 1;
E_0x99cdc0 .event/or E_0x99cdc0/0, E_0x99cdc0/1;
L_0x1710160 .part v0x130d3f0_0, 2, 1;
E_0x96f470 .event edge, v0xa73f00_0, v0xa69f30_0;
L_0x1710200 .part v0x130d3f0_0, 1, 1;
E_0x913ed0 .event edge, v0xa73f00_0, v0xa6a340_0;
L_0x1726960 .part v0x130d020_0, 4, 4;
L_0xe76a60 .part v0xa74280_0, 2, 1;
L_0x1726a50 .concat [ 8 8 0 0], v0x130cc80_0, v0x130afb0_0;
L_0xe76b60 .part v0xa74280_0, 1, 1;
L_0x1726b90 .concat [ 6 3 0 0], v0x130e2f0_0, L_0x7f9fafafa408;
L_0xe76d40 .part v0xa740c0_0, 2, 1;
L_0x1726c80 .cmp/eq 9, L_0x1726b90, L_0x7f9fafafa450;
L_0xe76de0 .part v0xa740c0_0, 1, 1;
S_0x12e2060 .scope module, "alu" "alu" 3 88, 4 15 0, S_0x12338f0;
L_0xe77040 .part v0xa741a0_0, 2, 1;
 
L_0xe770e0 .part v0xa741a0_0, 1, 1;
 
L_0xe8d9a0 .part v0xa73db0_0, 4, 4;
 
L_0xe8da90 .concat [ 8 8 0 0], v0xa739f0_0, v0xa73950_0;
 
L_0xe8dbd0 .concat [ 6 3 0 0], v0xa750a0_0, L_0x7f602e81f498;
 
L_0xe7a3b0 .cmp/eq 9, L_0xe8dbd0, L_0x7f602e81f4e0;
 
S_0x9e1e00 .scope module, "alu" "alu" 3 116, 4 15 0, S_0x86ef90;
 .timescale -9 -9;
 .timescale -9 -9;
    .port_info 0 /INPUT 1 "clk_in"
    .port_info 0 /INPUT 1 "clk_in"
    .port_info 1 /INPUT 16 "a_in"
    .port_info 1 /INPUT 16 "a_in"
    .port_info 2 /INPUT 16 "b_in"
    .port_info 2 /INPUT 16 "b_in"
    .port_info 3 /INPUT 8 "CCR"
    .port_info 3 /INPUT 8 "CCR"
    .port_info 4 /INPUT 5 "opcode_in"
    .port_info 4 /INPUT 5 "opcode_in"
    .port_info 5 /INPUT 1 "sz_in"
    .port_info 5 /INPUT 1 "sz_in"
    .port_info 6 /OUTPUT 16 "q_out"
    .port_info 6 /OUTPUT 16 "q_out"
    .port_info 7 /OUTPUT 8 "CCRo"
    .port_info 7 /OUTPUT 8 "CCRo"
v0x12ff3c0_0 .net "CCR", 7 0, L_0x1715bb0;  alias, 1 drivers
v0xa66010_0 .net "CCR", 7 0, L_0xe7cbe0;  alias, 1 drivers
v0x12ff4f0_0 .var "CCRo", 7 0;
v0xa66140_0 .var "CCRo", 7 0;
v0x12ff5d0_0 .net "a_in", 15 0, v0x130a820_0;  1 drivers
v0xa66220_0 .net "a_in", 15 0, v0xa71470_0;  1 drivers
v0x12ff690_0 .net "b_in", 15 0, v0x130a8e0_0;  1 drivers
v0xa662e0_0 .net "b_in", 15 0, v0xa71530_0;  1 drivers
v0x12ff770_0 .net "ccr16_out", 3 0, v0x12f5f50_0;  1 drivers
v0xa663c0_0 .net "ccr16_out", 3 0, v0xa5c920_0;  1 drivers
v0x12ff880_0 .net "ccr8_out", 7 0, v0x12f9ac0_0;  1 drivers
v0xa664d0_0 .net "ccr8_out", 7 0, v0xa60710_0;  1 drivers
v0x12ff950_0 .net "clk_in", 0 0, v0x170f420_0;  alias, 1 drivers
v0xa665a0_0 .net "clk_in", 0 0, v0xe76300_0;  alias, 1 drivers
v0x12ff9f0_0 .net "opcode_in", 4 0, v0x1300680_0;  alias, 1 drivers
v0xa66640_0 .net "opcode_in", 4 0, v0xa672d0_0;  alias, 1 drivers
v0x12ffab0_0 .net "q16_out", 15 0, v0x12f6ae0_0;  1 drivers
v0xa66700_0 .net "q16_out", 15 0, v0xa5d4b0_0;  1 drivers
v0x12ffc30_0 .net "q8_out", 7 0, v0x12feb00_0;  1 drivers
v0xa66880_0 .net "q8_out", 7 0, v0xa65750_0;  1 drivers
v0x12ffd00_0 .var "q_out", 15 0;
v0xa66950_0 .var "q_out", 15 0;
v0x12ffdc0_0 .var "ra_in", 15 0;
v0xa66a10_0 .var "ra_in", 15 0;
v0x12ffe80_0 .var "rb_in", 15 0;
v0xa66ad0_0 .var "rb_in", 15 0;
v0x12fff90_0 .var "rop_in", 4 0;
v0xa66be0_0 .var "rop_in", 4 0;
v0x13000a0_0 .net "sz_in", 0 0, L_0x17274f0;  alias, 1 drivers
v0xa66cf0_0 .net "sz_in", 0 0, L_0xe8e4e0;  alias, 1 drivers
E_0x11b0a50/0 .event edge, v0x13000a0_0, v0x12f6ae0_0, v0x12f5e50_0, v0x12f5f50_0;
E_0x90c9a0/0 .event edge, v0xa66cf0_0, v0xa5d4b0_0, v0xa5c820_0, v0xa5c920_0;
E_0x11b0a50/1 .event edge, v0x12feb00_0, v0x12f9ac0_0;
E_0x90c9a0/1 .event edge, v0xa65750_0, v0xa60710_0;
E_0x11b0a50 .event/or E_0x11b0a50/0, E_0x11b0a50/1;
E_0x90c9a0 .event/or E_0x90c9a0/0, E_0x90c9a0/1;
L_0x1714ca0 .part v0x12ffdc0_0, 0, 8;
L_0xe7bba0 .part v0xa66a10_0, 0, 8;
L_0x1714d40 .part v0x12ffe80_0, 0, 8;
L_0xe7bc40 .part v0xa66ad0_0, 0, 8;
S_0x1110f90 .scope module, "alu16" "alu16" 4 33, 4 327 0, S_0x12e2060;
S_0x9e41d0 .scope module, "alu16" "alu16" 4 33, 4 328 0, S_0x9e1e00;
 .timescale -9 -9;
 .timescale -9 -9;
    .port_info 0 /INPUT 1 "clk_in"
    .port_info 0 /INPUT 1 "clk_in"
    .port_info 1 /INPUT 16 "a_in"
    .port_info 1 /INPUT 16 "a_in"
    .port_info 2 /INPUT 16 "b_in"
    .port_info 2 /INPUT 16 "b_in"
    .port_info 3 /INPUT 8 "CCR"
    .port_info 3 /INPUT 8 "CCR"
    .port_info 4 /INPUT 5 "opcode_in"
    .port_info 4 /INPUT 5 "opcode_in"
    .port_info 5 /OUTPUT 16 "q_out"
    .port_info 5 /OUTPUT 16 "q_out"
    .port_info 6 /OUTPUT 4 "CCRo"
    .port_info 6 /OUTPUT 4 "CCRo"
v0x12f5e50_0 .net "CCR", 7 0, L_0x1715bb0;  alias, 1 drivers
v0xa5c820_0 .net "CCR", 7 0, L_0xe7cbe0;  alias, 1 drivers
v0x12f5f50_0 .var "CCRo", 3 0;
v0xa5c920_0 .var "CCRo", 3 0;
v0x12f6030_0 .net "a_in", 15 0, v0x12ffdc0_0;  1 drivers
v0xa5ca00_0 .net "a_in", 15 0, v0xa66a10_0;  1 drivers
v0x12f6100_0 .net "arith_c", 0 0, v0x12f5110_0;  1 drivers
v0xa5cad0_0 .net "arith_c", 0 0, v0xa5bad0_0;  1 drivers
v0x12f61d0_0 .net "arith_q", 15 0, v0x12f53c0_0;  1 drivers
v0xa5cba0_0 .net "arith_q", 15 0, v0xa5bd80_0;  1 drivers
v0x12f62c0_0 .net "arith_v", 0 0, v0x12f5300_0;  1 drivers
v0xa5cc90_0 .net "arith_v", 0 0, v0xa5bcc0_0;  1 drivers
v0x12f6390_0 .net "b_in", 15 0, v0x12ffe80_0;  1 drivers
v0xa5cd60_0 .net "b_in", 15 0, v0xa66ad0_0;  1 drivers
v0x12f6460_0 .var "c16", 0 0;
v0xa5ce30_0 .var "c16", 0 0;
v0x12f6500_0 .net "c_in", 0 0, L_0x17155e0;  1 drivers
v0xa5ced0_0 .net "c_in", 0 0, L_0xe7c610;  1 drivers
v0x12f6660_0 .net "clk_in", 0 0, v0x170f420_0;  alias, 1 drivers
v0xa5d030_0 .net "clk_in", 0 0, v0xe76300_0;  alias, 1 drivers
v0x12f6730_0 .var "n16", 0 0;
v0xa5d100_0 .var "n16", 0 0;
v0x12f67d0_0 .net "n_in", 0 0, L_0x1715680;  1 drivers
v0xa5d1a0_0 .net "n_in", 0 0, L_0xe7c6b0;  1 drivers
v0x12f6870_0 .net "opcode_in", 4 0, v0x12fff90_0;  1 drivers
v0xa5d240_0 .net "opcode_in", 4 0, v0xa66be0_0;  1 drivers
v0x12f6910_0 .var "q16", 15 0;
v0xa5d2e0_0 .var "q16", 15 0;
v0x12f69f0_0 .net "q16_mul", 15 0, L_0x1713b30;  1 drivers
v0xa5d3c0_0 .net "q16_mul", 15 0, L_0xe7aa70;  1 drivers
v0x12f6ae0_0 .var "q_out", 15 0;
v0xa5d4b0_0 .var "q_out", 15 0;
v0x12f6ba0_0 .var "reg_n_in", 0 0;
v0xa5d570_0 .var "reg_n_in", 0 0;
v0x12f6d50_0 .var "reg_z_in", 0 0;
v0xa5d720_0 .var "reg_z_in", 0 0;
v0x12f6df0_0 .var "regq16", 15 0;
v0xa5d7c0_0 .var "regq16", 15 0;
v0x12f6e90_0 .var "v16", 0 0;
v0xa5d860_0 .var "v16", 0 0;
v0x12f6f50_0 .net "v_in", 0 0, L_0x1715720;  1 drivers
v0xa5d920_0 .net "v_in", 0 0, L_0xe7c750;  1 drivers
v0x12f7010_0 .var "z16", 0 0;
v0xa5d9e0_0 .var "z16", 0 0;
v0x12f70d0_0 .net "z_in", 0 0, L_0x17157c0;  1 drivers
v0xa5daa0_0 .net "z_in", 0 0, L_0xe7c7f0;  1 drivers
E_0x1111130/0 .event edge, v0x12f6910_0, v0x12f6730_0, v0x12f7010_0, v0x12f6e90_0;
E_0x86f130/0 .event edge, v0xa5d2e0_0, v0xa5d100_0, v0xa5d9e0_0, v0xa5d860_0;
E_0x1111130/1 .event edge, v0x12f6460_0;
E_0x86f130/1 .event edge, v0xa5ce30_0;
E_0x1111130 .event/or E_0x1111130/0, E_0x1111130/1;
E_0x86f130 .event/or E_0x86f130/0, E_0x86f130/1;
E_0x129b7f0 .event edge, v0x12f6910_0, v0x12f6870_0, v0x12f6ba0_0, v0x12f6d50_0;
E_0x9fce00 .event edge, v0xa5d2e0_0, v0xa5d240_0, v0xa5d570_0, v0xa5d720_0;
E_0x1256590/0 .event edge, v0x12f5040_0, v0x12f6f50_0, v0x12f6870_0, v0x12f53c0_0;
E_0x9fcad0/0 .event edge, v0xa5ba00_0, v0xa5d920_0, v0xa5d240_0, v0xa5bd80_0;
E_0x1256590/1 .event edge, v0x12f5110_0, v0x12f5300_0, v0x12f5cf0_0, v0x12f4f60_0;
E_0x9fcad0/1 .event edge, v0xa5bad0_0, v0xa5bcc0_0, v0xa5c6c0_0, v0xa5b920_0;
E_0x1256590/2 .event edge, v0x125aa40_0;
E_0x9fcad0/2 .event edge, v0x9cc540_0;
E_0x1256590 .event/or E_0x1256590/0, E_0x1256590/1, E_0x1256590/2;
E_0x9fcad0 .event/or E_0x9fcad0/0, E_0x9fcad0/1, E_0x9fcad0/2;
L_0x17155e0 .part L_0x1715bb0, 0, 1;
L_0xe7c610 .part L_0xe7cbe0, 0, 1;
L_0x1715680 .part L_0x1715bb0, 3, 1;
L_0xe7c6b0 .part L_0xe7cbe0, 3, 1;
L_0x1715720 .part L_0x1715bb0, 1, 1;
L_0xe7c750 .part L_0xe7cbe0, 1, 1;
L_0x17157c0 .part L_0x1715bb0, 2, 1;
L_0xe7c7f0 .part L_0xe7cbe0, 2, 1;
L_0x17158b0 .part v0x12ffdc0_0, 0, 8;
L_0xe7c8e0 .part v0xa66a10_0, 0, 8;
L_0x17159e0 .part v0x12ffe80_0, 0, 8;
L_0xe7ca10 .part v0xa66ad0_0, 0, 8;
L_0x1715b10 .part v0x12fff90_0, 0, 2;
L_0xe7cb40 .part v0xa66be0_0, 0, 2;
S_0x127d840 .scope module, "a16" "arith16" 4 415, 4 129 0, S_0x1110f90;
S_0xa0b500 .scope module, "a16" "arith16" 4 416, 4 130 0, S_0x9e41d0;
 .timescale -9 -9;
 .timescale -9 -9;
    .port_info 0 /INPUT 16 "a_in"
    .port_info 0 /INPUT 16 "a_in"
    .port_info 1 /INPUT 16 "b_in"
    .port_info 1 /INPUT 16 "b_in"
    .port_info 2 /INPUT 1 "carry_in"
    .port_info 2 /INPUT 1 "carry_in"
    .port_info 3 /INPUT 2 "opcode_in"
    .port_info 3 /INPUT 2 "opcode_in"
    .port_info 4 /OUTPUT 16 "q_out"
    .port_info 4 /OUTPUT 16 "q_out"
    .port_info 5 /OUTPUT 1 "carry_out"
    .port_info 5 /OUTPUT 1 "carry_out"
    .port_info 6 /OUTPUT 1 "overflow_out"
    .port_info 6 /OUTPUT 1 "overflow_out"
v0x125aa40_0 .net "a_in", 15 0, v0x12ffdc0_0;  alias, 1 drivers
v0x9cc540_0 .net "a_in", 15 0, v0xa66a10_0;  alias, 1 drivers
v0x12f4f60_0 .net "b_in", 15 0, v0x12ffe80_0;  alias, 1 drivers
v0xa5b920_0 .net "b_in", 15 0, v0xa66ad0_0;  alias, 1 drivers
v0x12f5040_0 .net "carry_in", 0 0, L_0x17155e0;  alias, 1 drivers
v0xa5ba00_0 .net "carry_in", 0 0, L_0xe7c610;  alias, 1 drivers
v0x12f5110_0 .var "carry_out", 0 0;
v0xa5bad0_0 .var "carry_out", 0 0;
v0x12f51d0_0 .net "opcode_in", 1 0, L_0x1715b10;  1 drivers
v0xa5bb90_0 .net "opcode_in", 1 0, L_0xe7cb40;  1 drivers
v0x12f5300_0 .var "overflow_out", 0 0;
v0xa5bcc0_0 .var "overflow_out", 0 0;
v0x12f53c0_0 .var "q_out", 15 0;
v0xa5bd80_0 .var "q_out", 15 0;
E_0x1265350 .event edge, v0x12f51d0_0, v0x125aa40_0, v0x12f4f60_0, v0x12f53c0_0;
E_0x9b6de0 .event edge, v0xa5bb90_0, v0x9cc540_0, v0xa5b920_0, v0xa5bd80_0;
E_0x119a370 .event edge, v0x12f51d0_0, v0x125aa40_0, v0x12f4f60_0, v0x12f5040_0;
E_0x9b1f20 .event edge, v0xa5bb90_0, v0x9cc540_0, v0xa5b920_0, v0xa5ba00_0;
S_0x12f55c0 .scope module, "mulu" "mul8x8" 4 407, 4 605 0, S_0x1110f90;
S_0xa5bf80 .scope module, "mulu" "mul8x8" 4 408, 4 606 0, S_0x9e41d0;
 .timescale -9 -9;
 .timescale -9 -9;
    .port_info 0 /INPUT 1 "clk_in"
    .port_info 0 /INPUT 1 "clk_in"
    .port_info 1 /INPUT 8 "a"
    .port_info 1 /INPUT 8 "a"
    .port_info 2 /INPUT 8 "b"
    .port_info 2 /INPUT 8 "b"
    .port_info 3 /OUTPUT 16 "q"
    .port_info 3 /OUTPUT 16 "q"
L_0x1713b30 .functor BUFZ 16, v0x12f5bc0_0, C4<0000000000000000>, C4<0000000000000000>, C4<0000000000000000>;
L_0xe7aa70 .functor BUFZ 16, v0xa5c590_0, C4<0000000000000000>, C4<0000000000000000>, C4<0000000000000000>;
v0x12f5830_0 .net "a", 7 0, L_0x17158b0;  1 drivers
v0xa5c200_0 .net "a", 7 0, L_0xe7c8e0;  1 drivers
v0x12f5930_0 .net "b", 7 0, L_0x17159e0;  1 drivers
v0xa5c300_0 .net "b", 7 0, L_0xe7ca10;  1 drivers
v0x12f5a10_0 .net "clk_in", 0 0, v0x170f420_0;  alias, 1 drivers
v0xa5c3e0_0 .net "clk_in", 0 0, v0xe76300_0;  alias, 1 drivers
v0x12f5ae0_0 .var "pipe0", 15 0;
v0xa5c4b0_0 .var "pipe0", 15 0;
v0x12f5bc0_0 .var "pipe1", 15 0;
v0xa5c590_0 .var "pipe1", 15 0;
v0x12f5cf0_0 .net "q", 15 0, L_0x1713b30;  alias, 1 drivers
v0xa5c6c0_0 .net "q", 15 0, L_0xe7aa70;  alias, 1 drivers
E_0x12f57d0 .event posedge, v0x12f5a10_0;
E_0xa5c1a0 .event posedge, v0xa5c3e0_0;
S_0x12f72b0 .scope module, "alu8" "alu8" 4 32, 4 198 0, S_0x12e2060;
S_0xa5dc80 .scope module, "alu8" "alu8" 4 32, 4 199 0, S_0x9e1e00;
 .timescale -9 -9;
 .timescale -9 -9;
    .port_info 0 /INPUT 1 "clk_in"
    .port_info 0 /INPUT 1 "clk_in"
    .port_info 1 /INPUT 8 "a_in"
    .port_info 1 /INPUT 8 "a_in"
    .port_info 2 /INPUT 8 "b_in"
    .port_info 2 /INPUT 8 "b_in"
    .port_info 3 /INPUT 8 "CCR"
    .port_info 3 /INPUT 8 "CCR"
    .port_info 4 /INPUT 5 "opcode_in"
    .port_info 4 /INPUT 5 "opcode_in"
    .port_info 5 /OUTPUT 8 "q_out"
    .port_info 5 /OUTPUT 8 "q_out"
    .port_info 6 /OUTPUT 8 "CCRo"
    .port_info 6 /OUTPUT 8 "CCRo"
L_0x17108f0 .functor NOT 8, L_0x1714ca0, C4<00000000>, C4<00000000>, C4<00000000>;
L_0xe777d0 .functor NOT 8, L_0xe7bba0, C4<00000000>, C4<00000000>, C4<00000000>;
L_0x1710ac0 .functor BUFZ 8, L_0x17108f0, C4<00000000>, C4<00000000>, C4<00000000>;
L_0xe77a00 .functor BUFZ 8, L_0xe777d0, C4<00000000>, C4<00000000>, C4<00000000>;
L_0x1710f50 .functor BUFZ 8, L_0x17109f0, C4<00000000>, C4<00000000>, C4<00000000>;
L_0xe77e10 .functor BUFZ 8, L_0xe778d0, C4<00000000>, C4<00000000>, C4<00000000>;
L_0x1711240 .functor OR 1, L_0x1711010, L_0x17110b0, C4<0>, C4<0>;
L_0xe78100 .functor OR 1, L_0xe77ed0, L_0xe77f70, C4<0>, C4<0>;
L_0x1711350 .functor OR 1, L_0x1711240, L_0x17112b0, C4<0>, C4<0>;
L_0xe78240 .functor OR 1, L_0xe78100, L_0xe781a0, C4<0>, C4<0>;
L_0x17115a0 .functor OR 1, L_0x1711350, L_0x1711490, C4<0>, C4<0>;
L_0xe78490 .functor OR 1, L_0xe78240, L_0xe78380, C4<0>, C4<0>;
L_0x1711750 .functor OR 1, L_0x17115a0, L_0x17116b0, C4<0>, C4<0>;
L_0xe78640 .functor OR 1, L_0xe78490, L_0xe785a0, C4<0>, C4<0>;
L_0x1711530 .functor OR 1, L_0x1711750, L_0x1711860, C4<0>, C4<0>;
L_0xe78420 .functor OR 1, L_0xe78640, L_0xe78750, C4<0>, C4<0>;
L_0x1711ba0 .functor OR 1, L_0x1711530, L_0x1711b00, C4<0>, C4<0>;
L_0xe78a90 .functor OR 1, L_0xe78420, L_0xe789f0, C4<0>, C4<0>;
L_0x1711d50 .functor OR 1, L_0x1711ba0, L_0x1711cb0, C4<0>, C4<0>;
L_0xe78c40 .functor OR 1, L_0xe78a90, L_0xe78ba0, C4<0>, C4<0>;
L_0x1711150 .functor NOT 1, L_0x1711f00, C4<0>, C4<0>, C4<0>;
L_0xe78010 .functor NOT 1, L_0xe78df0, C4<0>, C4<0>, C4<0>;
L_0x1712040 .functor AND 1, L_0x1711e60, L_0x1711150, C4<1>, C4<1>;
L_0xe78f30 .functor AND 1, L_0xe78d50, L_0xe78010, C4<1>, C4<1>;
L_0x1712260 .functor NOT 1, L_0x17121c0, C4<0>, C4<0>, C4<0>;
L_0xe79150 .functor NOT 1, L_0xe790b0, C4<0>, C4<0>, C4<0>;
L_0x1712320 .functor AND 1, L_0x1712040, L_0x1712260, C4<1>, C4<1>;
L_0xe79210 .functor AND 1, L_0xe78f30, L_0xe79150, C4<1>, C4<1>;
L_0x1712150 .functor NOT 1, L_0x17124b0, C4<0>, C4<0>, C4<0>;
L_0xe79040 .functor NOT 1, L_0xe793a0, C4<0>, C4<0>, C4<0>;
L_0x1712600 .functor AND 1, L_0x1712320, L_0x1712150, C4<1>, C4<1>;
L_0xe794f0 .functor AND 1, L_0xe79210, L_0xe79040, C4<1>, C4<1>;
L_0x17127f0 .functor NOT 1, L_0x1712750, C4<0>, C4<0>, C4<0>;
L_0xe796e0 .functor NOT 1, L_0xe79640, C4<0>, C4<0>, C4<0>;
L_0x17128b0 .functor AND 1, L_0x1712600, L_0x17127f0, C4<1>, C4<1>;
L_0xe797a0 .functor AND 1, L_0xe794f0, L_0xe796e0, C4<1>, C4<1>;
L_0x17126c0 .functor NOT 1, L_0x1712a60, C4<0>, C4<0>, C4<0>;
L_0xe795b0 .functor NOT 1, L_0xe79950, C4<0>, C4<0>, C4<0>;
L_0x1712550 .functor AND 1, L_0x17128b0, L_0x17126c0, C4<1>, C4<1>;
L_0xe79440 .functor AND 1, L_0xe797a0, L_0xe795b0, C4<1>, C4<1>;
L_0x1712e60 .functor NOT 1, L_0x17129c0, C4<0>, C4<0>, C4<0>;
L_0xe79d50 .functor NOT 1, L_0xe798b0, C4<0>, C4<0>, C4<0>;
L_0x1712f20 .functor AND 1, L_0x1712550, L_0x1712e60, C4<1>, C4<1>;
L_0xe79e10 .functor AND 1, L_0xe79440, L_0xe79d50, C4<1>, C4<1>;
L_0x1711900 .functor NOT 1, L_0x1712db0, C4<0>, C4<0>, C4<0>;
L_0xe787f0 .functor NOT 1, L_0xe79ca0, C4<0>, C4<0>, C4<0>;
L_0x17131c0 .functor AND 1, L_0x1712f20, L_0x1711900, C4<1>, C4<1>;
L_0xe7a0b0 .functor AND 1, L_0xe79e10, L_0xe787f0, C4<1>, C4<1>;
L_0x1713610 .functor OR 1, L_0x1713120, L_0x1710850, C4<0>, C4<0>;
L_0xe7a500 .functor OR 1, L_0xe7a010, L_0xe77730, C4<0>, C4<0>;
L_0x7f9fafafa2e8 .functor BUFT 1, C4<1>, C4<0>, C4<0>, C4<0>;
L_0x7f602e81f330 .functor BUFT 1, C4<1>, C4<0>, C4<0>, C4<0>;
L_0x17138f0 .functor XNOR 1, L_0x1710470, L_0x7f9fafafa2e8, C4<0>, C4<0>;
L_0xe7a880 .functor XNOR 1, L_0xe77350, L_0x7f602e81f330, C4<0>, C4<0>;
L_0x1713e30 .functor OR 1, L_0x1713f90, L_0x17138f0, C4<0>, C4<0>;
L_0xe7ad70 .functor OR 1, L_0xe7ae40, L_0xe7a880, C4<0>, C4<0>;
L_0x1714320 .functor AND 1, L_0x1714280, L_0x1713120, C4<1>, C4<1>;
L_0xe7b220 .functor AND 1, L_0xe7b180, L_0xe7a010, C4<1>, C4<1>;
L_0x17141a0 .functor OR 1, L_0x1713e30, L_0x1714320, C4<0>, C4<0>;
L_0xe7b0a0 .functor OR 1, L_0xe7ad70, L_0xe7b220, C4<0>, C4<0>;
v0x12f99e0_0 .net "CCR", 7 0, L_0x1715bb0;  alias, 1 drivers
v0xa60630_0 .net "CCR", 7 0, L_0xe7cbe0;  alias, 1 drivers
v0x12f9ac0_0 .var "CCRo", 7 0;
v0xa60710_0 .var "CCRo", 7 0;
v0x12f9b80_0 .net *"_s101", 0 0, L_0x1712db0;  1 drivers
v0xa607d0_0 .net *"_s101", 0 0, L_0xe79ca0;  1 drivers
v0x12f9c40_0 .net *"_s102", 0 0, L_0x1711900;  1 drivers
v0xa60890_0 .net *"_s102", 0 0, L_0xe787f0;  1 drivers
v0x12f9d20_0 .net *"_s107", 3 0, L_0x1713030;  1 drivers
v0xa60970_0 .net *"_s107", 3 0, L_0xe79f20;  1 drivers
v0x12f9e00_0 .net *"_s108", 5 0, L_0x17133a0;  1 drivers
v0xa60a50_0 .net *"_s108", 5 0, L_0xe7a290;  1 drivers
L_0x7f9fafafa180 .functor BUFT 1, C4<00>, C4<0>, C4<0>, C4<0>;
L_0x7f602e81f1c8 .functor BUFT 1, C4<00>, C4<0>, C4<0>, C4<0>;
v0x12f9ee0_0 .net *"_s111", 1 0, L_0x7f9fafafa180;  1 drivers
v0xa60b30_0 .net *"_s111", 1 0, L_0x7f602e81f1c8;  1 drivers
L_0x7f9fafafa1c8 .functor BUFT 1, C4<001001>, C4<0>, C4<0>, C4<0>;
L_0x7f602e81f210 .functor BUFT 1, C4<001001>, C4<0>, C4<0>, C4<0>;
v0x12f9fc0_0 .net/2u *"_s112", 5 0, L_0x7f9fafafa1c8;  1 drivers
v0xa60c10_0 .net/2u *"_s112", 5 0, L_0x7f602e81f210;  1 drivers
v0x12fa0a0_0 .net *"_s116", 0 0, L_0x1713610;  1 drivers
v0xa60cf0_0 .net *"_s116", 0 0, L_0xe7a500;  1 drivers
v0x12fa210_0 .net *"_s119", 3 0, L_0x17136d0;  1 drivers
v0xa60e60_0 .net *"_s119", 3 0, L_0xe7a5c0;  1 drivers
L_0x7f9fafafa018 .functor BUFT 1, C4<00000000>, C4<0>, C4<0>, C4<0>;
L_0x7f602e81f060 .functor BUFT 1, C4<00000000>, C4<0>, C4<0>, C4<0>;
v0x12fa2f0_0 .net/2u *"_s12", 7 0, L_0x7f9fafafa018;  1 drivers
v0xa60f40_0 .net/2u *"_s12", 7 0, L_0x7f602e81f060;  1 drivers
L_0x7f9fafafa210 .functor BUFT 1, C4<0110>, C4<0>, C4<0>, C4<0>;
L_0x7f602e81f258 .functor BUFT 1, C4<0110>, C4<0>, C4<0>, C4<0>;
v0x12fa3d0_0 .net/2u *"_s120", 3 0, L_0x7f9fafafa210;  1 drivers
v0xa61020_0 .net/2u *"_s120", 3 0, L_0x7f602e81f258;  1 drivers
v0x12fa4b0_0 .net *"_s122", 3 0, L_0x17134c0;  1 drivers
v0xa61100_0 .net *"_s122", 3 0, L_0xe7a750;  1 drivers
v0x12fa590_0 .net *"_s125", 3 0, L_0x1713a00;  1 drivers
v0xa611e0_0 .net *"_s125", 3 0, L_0xe7a940;  1 drivers
v0x12fa670_0 .net *"_s129", 3 0, L_0x1713d00;  1 drivers
v0xa612c0_0 .net *"_s129", 3 0, L_0xe7ac40;  1 drivers
v0x12fa750_0 .net *"_s130", 5 0, L_0x1713bb0;  1 drivers
v0xa613a0_0 .net *"_s130", 5 0, L_0xe7aaf0;  1 drivers
L_0x7f9fafafa258 .functor BUFT 1, C4<00>, C4<0>, C4<0>, C4<0>;
L_0x7f602e81f2a0 .functor BUFT 1, C4<00>, C4<0>, C4<0>, C4<0>;
v0x12fa830_0 .net *"_s133", 1 0, L_0x7f9fafafa258;  1 drivers
v0xa61480_0 .net *"_s133", 1 0, L_0x7f602e81f2a0;  1 drivers
L_0x7f9fafafa2a0 .functor BUFT 1, C4<001001>, C4<0>, C4<0>, C4<0>;
L_0x7f602e81f2e8 .functor BUFT 1, C4<001001>, C4<0>, C4<0>, C4<0>;
v0x12fa9e0_0 .net/2u *"_s134", 5 0, L_0x7f9fafafa2a0;  1 drivers
v0xa61630_0 .net/2u *"_s134", 5 0, L_0x7f602e81f2e8;  1 drivers
v0x12faa80_0 .net *"_s136", 0 0, L_0x1713f90;  1 drivers
v0xa616d0_0 .net *"_s136", 0 0, L_0xe7ae40;  1 drivers
v0x12fab40_0 .net/2u *"_s138", 0 0, L_0x7f9fafafa2e8;  1 drivers
v0xa61790_0 .net/2u *"_s138", 0 0, L_0x7f602e81f330;  1 drivers
v0x12fac20_0 .net *"_s140", 0 0, L_0x17138f0;  1 drivers
v0xa61870_0 .net *"_s140", 0 0, L_0xe7a880;  1 drivers
v0x12face0_0 .net *"_s142", 0 0, L_0x1713e30;  1 drivers
v0xa61930_0 .net *"_s142", 0 0, L_0xe7ad70;  1 drivers
v0x12fada0_0 .net *"_s145", 0 0, L_0x1714280;  1 drivers
v0xa619f0_0 .net *"_s145", 0 0, L_0xe7b180;  1 drivers
v0x12fae80_0 .net *"_s146", 0 0, L_0x1714320;  1 drivers
v0xa61ad0_0 .net *"_s146", 0 0, L_0xe7b220;  1 drivers
v0x12faf60_0 .net *"_s148", 0 0, L_0x17141a0;  1 drivers
v0xa61bb0_0 .net *"_s148", 0 0, L_0xe7b0a0;  1 drivers
v0x12fb020_0 .net *"_s151", 3 0, L_0x1714520;  1 drivers
v0xa61c70_0 .net *"_s151", 3 0, L_0xe7b420;  1 drivers
L_0x7f9fafafa330 .functor BUFT 1, C4<0110>, C4<0>, C4<0>, C4<0>;
L_0x7f602e81f378 .functor BUFT 1, C4<0110>, C4<0>, C4<0>, C4<0>;
v0x12fb100_0 .net/2u *"_s152", 3 0, L_0x7f9fafafa330;  1 drivers
v0xa61d50_0 .net/2u *"_s152", 3 0, L_0x7f602e81f378;  1 drivers
v0x12fb1e0_0 .net *"_s154", 3 0, L_0x1714080;  1 drivers
v0xa61e30_0 .net *"_s154", 3 0, L_0xe7af80;  1 drivers
v0x12fb2c0_0 .net *"_s157", 3 0, L_0x1714790;  1 drivers
v0xa61f10_0 .net *"_s157", 3 0, L_0xe7b690;  1 drivers
v0x12fb3a0_0 .net *"_s161", 3 0, L_0x1714a10;  1 drivers
v0xa61ff0_0 .net *"_s161", 3 0, L_0xe7b910;  1 drivers
L_0x7f9fafafa060 .functor BUFT 1, C4<00000000>, C4<0>, C4<0>, C4<0>;
L_0x7f602e81f0a8 .functor BUFT 1, C4<00000000>, C4<0>, C4<0>, C4<0>;
v0x12fb480_0 .net/2u *"_s18", 7 0, L_0x7f9fafafa060;  1 drivers
v0xa620d0_0 .net/2u *"_s18", 7 0, L_0x7f602e81f0a8;  1 drivers
v0x12fb560_0 .net *"_s20", 0 0, L_0x1710bd0;  1 drivers
v0xa621b0_0 .net *"_s20", 0 0, L_0xe77ac0;  1 drivers
L_0x7f9fafafa0a8 .functor BUFT 1, C4<1>, C4<0>, C4<0>, C4<0>;
L_0x7f602e81f0f0 .functor BUFT 1, C4<1>, C4<0>, C4<0>, C4<0>;
v0x12fb620_0 .net/2u *"_s22", 0 0, L_0x7f9fafafa0a8;  1 drivers
v0xa62270_0 .net/2u *"_s22", 0 0, L_0x7f602e81f0f0;  1 drivers
L_0x7f9fafafa0f0 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
L_0x7f602e81f138 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
v0x12fa910_0 .net/2u *"_s24", 0 0, L_0x7f9fafafa0f0;  1 drivers
v0xa61560_0 .net/2u *"_s24", 0 0, L_0x7f602e81f138;  1 drivers
v0x12fb8f0_0 .net *"_s33", 0 0, L_0x1711010;  1 drivers
v0xa62540_0 .net *"_s33", 0 0, L_0xe77ed0;  1 drivers
v0x12fb9d0_0 .net *"_s35", 0 0, L_0x17110b0;  1 drivers
v0xa62620_0 .net *"_s35", 0 0, L_0xe77f70;  1 drivers
v0x12fbab0_0 .net *"_s36", 0 0, L_0x1711240;  1 drivers
v0xa62700_0 .net *"_s36", 0 0, L_0xe78100;  1 drivers
v0x12fbb90_0 .net *"_s39", 0 0, L_0x17112b0;  1 drivers
v0xa627e0_0 .net *"_s39", 0 0, L_0xe781a0;  1 drivers
v0x12fbc70_0 .net *"_s40", 0 0, L_0x1711350;  1 drivers
v0xa628c0_0 .net *"_s40", 0 0, L_0xe78240;  1 drivers
v0x12fbd50_0 .net *"_s43", 0 0, L_0x1711490;  1 drivers
v0xa629a0_0 .net *"_s43", 0 0, L_0xe78380;  1 drivers
v0x12fbe30_0 .net *"_s44", 0 0, L_0x17115a0;  1 drivers
v0xa62a80_0 .net *"_s44", 0 0, L_0xe78490;  1 drivers
v0x12fbf10_0 .net *"_s47", 0 0, L_0x17116b0;  1 drivers
v0xa62b60_0 .net *"_s47", 0 0, L_0xe785a0;  1 drivers
v0x12fbff0_0 .net *"_s48", 0 0, L_0x1711750;  1 drivers
v0xa62c40_0 .net *"_s48", 0 0, L_0xe78640;  1 drivers
v0x12fc0d0_0 .net *"_s51", 0 0, L_0x1711860;  1 drivers
v0xa62d20_0 .net *"_s51", 0 0, L_0xe78750;  1 drivers
v0x12fc1b0_0 .net *"_s52", 0 0, L_0x1711530;  1 drivers
v0xa62e00_0 .net *"_s52", 0 0, L_0xe78420;  1 drivers
v0x12fc290_0 .net *"_s55", 0 0, L_0x1711b00;  1 drivers
v0xa62ee0_0 .net *"_s55", 0 0, L_0xe789f0;  1 drivers
v0x12fc370_0 .net *"_s56", 0 0, L_0x1711ba0;  1 drivers
v0xa62fc0_0 .net *"_s56", 0 0, L_0xe78a90;  1 drivers
v0x12fc450_0 .net *"_s59", 0 0, L_0x1711cb0;  1 drivers
v0xa630a0_0 .net *"_s59", 0 0, L_0xe78ba0;  1 drivers
v0x12fc530_0 .net *"_s63", 0 0, L_0x1711e60;  1 drivers
v0xa63180_0 .net *"_s63", 0 0, L_0xe78d50;  1 drivers
v0x12fc610_0 .net *"_s65", 0 0, L_0x1711f00;  1 drivers
v0xa63260_0 .net *"_s65", 0 0, L_0xe78df0;  1 drivers
v0x12fc6f0_0 .net *"_s66", 0 0, L_0x1711150;  1 drivers
v0xa63340_0 .net *"_s66", 0 0, L_0xe78010;  1 drivers
v0x12fc7d0_0 .net *"_s68", 0 0, L_0x1712040;  1 drivers
v0xa63420_0 .net *"_s68", 0 0, L_0xe78f30;  1 drivers
v0x12fc8b0_0 .net *"_s71", 0 0, L_0x17121c0;  1 drivers
v0xa63500_0 .net *"_s71", 0 0, L_0xe790b0;  1 drivers
v0x12fc990_0 .net *"_s72", 0 0, L_0x1712260;  1 drivers
v0xa635e0_0 .net *"_s72", 0 0, L_0xe79150;  1 drivers
v0x12fca70_0 .net *"_s74", 0 0, L_0x1712320;  1 drivers
v0xa636c0_0 .net *"_s74", 0 0, L_0xe79210;  1 drivers
v0x12fcb50_0 .net *"_s77", 0 0, L_0x17124b0;  1 drivers
v0xa637a0_0 .net *"_s77", 0 0, L_0xe793a0;  1 drivers
v0x12fcc30_0 .net *"_s78", 0 0, L_0x1712150;  1 drivers
v0xa63880_0 .net *"_s78", 0 0, L_0xe79040;  1 drivers
v0x12fcd10_0 .net *"_s80", 0 0, L_0x1712600;  1 drivers
v0xa63960_0 .net *"_s80", 0 0, L_0xe794f0;  1 drivers
v0x12fcdf0_0 .net *"_s83", 0 0, L_0x1712750;  1 drivers
v0xa63a40_0 .net *"_s83", 0 0, L_0xe79640;  1 drivers
v0x12fced0_0 .net *"_s84", 0 0, L_0x17127f0;  1 drivers
v0xa63b20_0 .net *"_s84", 0 0, L_0xe796e0;  1 drivers
v0x12fcfb0_0 .net *"_s86", 0 0, L_0x17128b0;  1 drivers
v0xa63c00_0 .net *"_s86", 0 0, L_0xe797a0;  1 drivers
v0x12fd090_0 .net *"_s89", 0 0, L_0x1712a60;  1 drivers
v0xa63ce0_0 .net *"_s89", 0 0, L_0xe79950;  1 drivers
v0x12fd170_0 .net *"_s90", 0 0, L_0x17126c0;  1 drivers
v0xa63dc0_0 .net *"_s90", 0 0, L_0xe795b0;  1 drivers
v0x12fd250_0 .net *"_s92", 0 0, L_0x1712550;  1 drivers
v0xa63ea0_0 .net *"_s92", 0 0, L_0xe79440;  1 drivers
v0x12fd330_0 .net *"_s95", 0 0, L_0x17129c0;  1 drivers
v0xa63f80_0 .net *"_s95", 0 0, L_0xe798b0;  1 drivers
v0x12fb6c0_0 .net *"_s96", 0 0, L_0x1712e60;  1 drivers
v0xa62310_0 .net *"_s96", 0 0, L_0xe79d50;  1 drivers
v0x12fb7a0_0 .net *"_s98", 0 0, L_0x1712f20;  1 drivers
v0xa623f0_0 .net *"_s98", 0 0, L_0xe79e10;  1 drivers
v0x12fd7e0_0 .net "a_in", 7 0, L_0x1714ca0;  1 drivers
v0xa64430_0 .net "a_in", 7 0, L_0xe7bba0;  1 drivers
v0x12fd880_0 .net "arith_c", 0 0, v0x12f7d90_0;  1 drivers
v0xa644d0_0 .net "arith_c", 0 0, v0xa5ea30_0;  1 drivers
v0x12fd920_0 .net "arith_h", 0 0, v0x12f7f60_0;  1 drivers
v0xa64570_0 .net "arith_h", 0 0, v0xa5ebb0_0;  1 drivers
v0x12fd9f0_0 .net "arith_q", 7 0, v0x12f81c0_0;  1 drivers
v0xa64640_0 .net "arith_q", 7 0, v0xa5eea0_0;  1 drivers
v0x12fdac0_0 .net "arith_v", 0 0, v0x12f8100_0;  1 drivers
v0xa64710_0 .net "arith_v", 0 0, v0xa5ede0_0;  1 drivers
v0x12fdb90_0 .net "b_in", 7 0, L_0x1714d40;  1 drivers
v0xa647e0_0 .net "b_in", 7 0, L_0xe7bc40;  1 drivers
v0x12fdc30_0 .var "c8", 0 0;
v0xa64880_0 .var "c8", 0 0;
v0x12fdcd0_0 .net "c_in", 0 0, L_0x1710470;  1 drivers
v0xa64920_0 .net "c_in", 0 0, L_0xe77350;  1 drivers
v0x12fddc0_0 .net "ccom8_r", 0 0, L_0x1710cf0;  1 drivers
v0xa64a10_0 .net "ccom8_r", 0 0, L_0xe77c30;  1 drivers
v0x12fde60_0 .net "cdaa8_r", 0 0, L_0x1714830;  1 drivers
v0xa64ab0_0 .net "cdaa8_r", 0 0, L_0xe7b730;  1 drivers
v0x12fdf00_0 .net "clk_in", 0 0, v0x170f420_0;  alias, 1 drivers
v0xa64b50_0 .net "clk_in", 0 0, v0xe76300_0;  alias, 1 drivers
v0x12fdff0_0 .net "cneg8_r", 0 0, L_0x1711d50;  1 drivers
v0xa64c40_0 .net "cneg8_r", 0 0, L_0xe78c40;  1 drivers
v0x12fe0b0_0 .net "com8_r", 7 0, L_0x1710ac0;  1 drivers
v0xa64d00_0 .net "com8_r", 7 0, L_0xe77a00;  1 drivers
v0x12fe190_0 .net "com8_w", 7 0, L_0x17108f0;  1 drivers
v0xa64de0_0 .net "com8_w", 7 0, L_0xe777d0;  1 drivers
v0x12fe270_0 .net "daa8h_r", 3 0, L_0x17145c0;  1 drivers
v0xa64ec0_0 .net "daa8h_r", 3 0, L_0xe7b4c0;  1 drivers
v0x12fe350_0 .net "daa8l_r", 3 0, L_0x1713770;  1 drivers
v0xa64fa0_0 .net "daa8l_r", 3 0, L_0xe7a660;  1 drivers
v0x12fe430_0 .net "daa_lnm9", 0 0, L_0x1713120;  1 drivers
v0xa65080_0 .net "daa_lnm9", 0 0, L_0xe7a010;  1 drivers
v0x12fe4f0_0 .var "h8", 0 0;
v0xa65140_0 .var "h8", 0 0;
v0x12fe5b0_0 .net "h_in", 0 0, L_0x1710850;  1 drivers
v0xa65200_0 .net "h_in", 0 0, L_0xe77730;  1 drivers
v0x12fe650_0 .net "logic_q", 7 0, v0x12f89b0_0;  1 drivers
v0xa652a0_0 .net "logic_q", 7 0, v0xa5f600_0;  1 drivers
v0x12fe6f0_0 .net "n_in", 0 0, L_0x1710510;  1 drivers
v0xa65340_0 .net "n_in", 0 0, L_0xe773f0;  1 drivers
v0x12fe790_0 .net "neg8_r", 7 0, L_0x1710f50;  1 drivers
v0xa653e0_0 .net "neg8_r", 7 0, L_0xe77e10;  1 drivers
v0x12fe870_0 .net "neg8_w", 7 0, L_0x17109f0;  1 drivers
v0xa654c0_0 .net "neg8_w", 7 0, L_0xe778d0;  1 drivers
v0x12fe950_0 .net "opcode_in", 4 0, v0x12fff90_0;  alias, 1 drivers
v0xa655a0_0 .net "opcode_in", 4 0, v0xa66be0_0;  alias, 1 drivers
v0x12fea40_0 .var "q8", 7 0;
v0xa65690_0 .var "q8", 7 0;
v0x12feb00_0 .var "q_out", 7 0;
v0xa65750_0 .var "q_out", 7 0;
v0x12febe0_0 .var "regq8", 7 0;
v0xa65830_0 .var "regq8", 7 0;
v0x12fecc0_0 .net "shift_c", 0 0, L_0x1714fe0;  1 drivers
v0xa65910_0 .net "shift_c", 0 0, L_0xe7c100;  1 drivers
v0x12fed90_0 .net "shift_q", 7 0, v0x12f9800_0;  1 drivers
v0xa659e0_0 .net "shift_q", 7 0, v0xa60450_0;  1 drivers
v0x12fee60_0 .net "shift_v", 0 0, v0x12f9740_0;  1 drivers
v0xa65ab0_0 .net "shift_v", 0 0, v0xa60390_0;  1 drivers
v0x12fef30_0 .var "v8", 0 0;
v0xa65b80_0 .var "v8", 0 0;
v0x12fefd0_0 .net "v_in", 0 0, L_0x17105b0;  1 drivers
v0xa65c20_0 .net "v_in", 0 0, L_0xe77490;  1 drivers
L_0x7f9fafafa138 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
L_0x7f602e81f180 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
v0x12ff0a0_0 .net "vcom8_r", 0 0, L_0x7f9fafafa138;  1 drivers
v0xa65cf0_0 .net "vcom8_r", 0 0, L_0x7f602e81f180;  1 drivers
v0x12ff140_0 .net "vneg8_r", 0 0, L_0x17131c0;  1 drivers
v0xa65d90_0 .net "vneg8_r", 0 0, L_0xe7a0b0;  1 drivers
v0x12ff1e0_0 .net "z_in", 0 0, L_0x17107b0;  1 drivers
v0xa65e30_0 .net "z_in", 0 0, L_0xe77690;  1 drivers
E_0x12f7540/0 .event edge, v0x12fea40_0, v0x12f6870_0, v0x12f5e50_0, v0x12f7be0_0;
E_0xa5df10/0 .event edge, v0xa65690_0, v0xa5d240_0, v0xa5c820_0, v0xa5e780_0;
E_0x12f7540/1 .event edge, v0x12fe4f0_0, v0x12fef30_0, v0x12fdc30_0;
E_0xa5df10/1 .event edge, v0xa65140_0, v0xa65b80_0, v0xa64880_0;
E_0x12f7540 .event/or E_0x12f7540/0, E_0x12f7540/1;
E_0xa5df10 .event/or E_0xa5df10/0, E_0xa5df10/1;
E_0x12f75c0/0 .event edge, v0x12f7cc0_0, v0x12f7e50_0, v0x12f95f0_0, v0x12f6870_0;
E_0xa5df90/0 .event edge, v0xa5e970_0, v0xa5eaf0_0, v0xa60240_0, v0xa5d240_0;
E_0x12f75c0/1 .event edge, v0x12f81c0_0, v0x12f7d90_0, v0x12f8100_0, v0x12f7f60_0;
E_0xa5df90/1 .event edge, v0xa5eea0_0, v0xa5ea30_0, v0xa5ede0_0, v0xa5ebb0_0;
E_0x12f75c0/2 .event edge, v0x12fe0b0_0, v0x12ff0a0_0, v0x12fe790_0, v0x12fdff0_0;
E_0xa5df90/2 .event edge, v0xa64d00_0, v0xa65cf0_0, v0xa653e0_0, v0xa64c40_0;
E_0x12f75c0/3 .event edge, v0x12ff140_0, v0x12f9800_0, v0x12f9470_0, v0x12f9740_0;
E_0xa5df90/3 .event edge, v0xa65d90_0, v0xa60450_0, v0xa600c0_0, v0xa60390_0;
E_0x12f75c0/4 .event edge, v0x12f89b0_0, v0x12fe270_0, v0x12fe350_0, v0x12fde60_0;
E_0xa5df90/4 .event edge, v0xa5f600_0, v0xa64ec0_0, v0xa64fa0_0, v0xa64ab0_0;
E_0x12f75c0/5 .event edge, v0x12f7ae0_0;
E_0xa5df90/5 .event edge, v0xa5e690_0;
E_0x12f75c0 .event/or E_0x12f75c0/0, E_0x12f75c0/1, E_0x12f75c0/2, E_0x12f75c0/3, E_0x12f75c0/4, E_0x12f75c0/5;
E_0xa5df90 .event/or E_0xa5df90/0, E_0xa5df90/1, E_0xa5df90/2, E_0xa5df90/3, E_0xa5df90/4, E_0xa5df90/5;
L_0x1710470 .part L_0x1715bb0, 0, 1;
L_0xe77350 .part L_0xe7cbe0, 0, 1;
L_0x1710510 .part L_0x1715bb0, 3, 1;
L_0xe773f0 .part L_0xe7cbe0, 3, 1;
L_0x17105b0 .part L_0x1715bb0, 1, 1;
L_0xe77490 .part L_0xe7cbe0, 1, 1;
L_0x17107b0 .part L_0x1715bb0, 2, 1;
L_0xe77690 .part L_0xe7cbe0, 2, 1;
L_0x1710850 .part L_0x1715bb0, 5, 1;
L_0xe77730 .part L_0xe7cbe0, 5, 1;
L_0x17109f0 .arith/sub 8, L_0x7f9fafafa018, L_0x1714ca0;
L_0xe778d0 .arith/sub 8, L_0x7f602e81f060, L_0xe7bba0;
L_0x1710bd0 .cmp/ne 8, L_0x17108f0, L_0x7f9fafafa060;
L_0xe77ac0 .cmp/ne 8, L_0xe777d0, L_0x7f602e81f0a8;
L_0x1710cf0 .functor MUXZ 1, L_0x7f9fafafa0f0, L_0x7f9fafafa0a8, L_0x1710bd0, C4<>;
L_0xe77c30 .functor MUXZ 1, L_0x7f602e81f138, L_0x7f602e81f0f0, L_0xe77ac0, C4<>;
L_0x1711010 .part L_0x17109f0, 7, 1;
L_0xe77ed0 .part L_0xe778d0, 7, 1;
L_0x17110b0 .part L_0x17109f0, 6, 1;
L_0xe77f70 .part L_0xe778d0, 6, 1;
L_0x17112b0 .part L_0x17109f0, 5, 1;
L_0xe781a0 .part L_0xe778d0, 5, 1;
L_0x1711490 .part L_0x17109f0, 4, 1;
L_0xe78380 .part L_0xe778d0, 4, 1;
L_0x17116b0 .part L_0x17109f0, 3, 1;
L_0xe785a0 .part L_0xe778d0, 3, 1;
L_0x1711860 .part L_0x17109f0, 2, 1;
L_0xe78750 .part L_0xe778d0, 2, 1;
L_0x1711b00 .part L_0x17109f0, 1, 1;
L_0xe789f0 .part L_0xe778d0, 1, 1;
L_0x1711cb0 .part L_0x17109f0, 0, 1;
L_0xe78ba0 .part L_0xe778d0, 0, 1;
L_0x1711e60 .part L_0x17109f0, 7, 1;
L_0xe78d50 .part L_0xe778d0, 7, 1;
L_0x1711f00 .part L_0x17109f0, 6, 1;
L_0xe78df0 .part L_0xe778d0, 6, 1;
L_0x17121c0 .part L_0x17109f0, 5, 1;
L_0xe790b0 .part L_0xe778d0, 5, 1;
L_0x17124b0 .part L_0x17109f0, 4, 1;
L_0xe793a0 .part L_0xe778d0, 4, 1;
L_0x1712750 .part L_0x17109f0, 3, 1;
L_0xe79640 .part L_0xe778d0, 3, 1;
L_0x1712a60 .part L_0x17109f0, 2, 1;
L_0xe79950 .part L_0xe778d0, 2, 1;
L_0x17129c0 .part L_0x17109f0, 1, 1;
L_0xe798b0 .part L_0xe778d0, 1, 1;
L_0x1712db0 .part L_0x17109f0, 0, 1;
L_0xe79ca0 .part L_0xe778d0, 0, 1;
L_0x1713030 .part L_0x1714ca0, 0, 4;
L_0xe79f20 .part L_0xe7bba0, 0, 4;
L_0x17133a0 .concat [ 4 2 0 0], L_0x1713030, L_0x7f9fafafa180;
L_0xe7a290 .concat [ 4 2 0 0], L_0xe79f20, L_0x7f602e81f1c8;
L_0x1713120 .cmp/gt 6, L_0x17133a0, L_0x7f9fafafa1c8;
L_0xe7a010 .cmp/gt 6, L_0xe7a290, L_0x7f602e81f210;
L_0x17136d0 .part L_0x1714ca0, 0, 4;
L_0xe7a5c0 .part L_0xe7bba0, 0, 4;
L_0x17134c0 .arith/sum 4, L_0x17136d0, L_0x7f9fafafa210;
L_0xe7a750 .arith/sum 4, L_0xe7a5c0, L_0x7f602e81f258;
L_0x1713a00 .part L_0x1714ca0, 0, 4;
L_0xe7a940 .part L_0xe7bba0, 0, 4;
L_0x1713770 .functor MUXZ 4, L_0x1713a00, L_0x17134c0, L_0x1713610, C4<>;
L_0xe7a660 .functor MUXZ 4, L_0xe7a940, L_0xe7a750, L_0xe7a500, C4<>;
L_0x1713d00 .part L_0x1714ca0, 4, 4;
L_0xe7ac40 .part L_0xe7bba0, 4, 4;
L_0x1713bb0 .concat [ 4 2 0 0], L_0x1713d00, L_0x7f9fafafa258;
L_0xe7aaf0 .concat [ 4 2 0 0], L_0xe7ac40, L_0x7f602e81f2a0;
L_0x1713f90 .cmp/gt 6, L_0x1713bb0, L_0x7f9fafafa2a0;
L_0xe7ae40 .cmp/gt 6, L_0xe7aaf0, L_0x7f602e81f2e8;
L_0x1714280 .part L_0x1714ca0, 7, 1;
L_0xe7b180 .part L_0xe7bba0, 7, 1;
L_0x1714520 .part L_0x1714ca0, 4, 4;
L_0xe7b420 .part L_0xe7bba0, 4, 4;
L_0x1714080 .arith/sum 4, L_0x1714520, L_0x7f9fafafa330;
L_0xe7af80 .arith/sum 4, L_0xe7b420, L_0x7f602e81f378;
L_0x1714790 .part L_0x1714ca0, 4, 4;
L_0xe7b690 .part L_0xe7bba0, 4, 4;
L_0x17145c0 .functor MUXZ 4, L_0x1714790, L_0x1714080, L_0x17141a0, C4<>;
L_0xe7b4c0 .functor MUXZ 4, L_0xe7b690, L_0xe7af80, L_0xe7b0a0, C4<>;
L_0x1714a10 .part L_0x1714ca0, 4, 4;
L_0xe7b910 .part L_0xe7bba0, 4, 4;
L_0x1714830 .cmp/gt 4, L_0x1714a10, L_0x17145c0;
L_0xe7b730 .cmp/gt 4, L_0xe7b910, L_0xe7b4c0;
L_0x1714c00 .part v0x12fff90_0, 0, 2;
L_0xe7bb00 .part v0xa66be0_0, 0, 2;
L_0x1714ab0 .part v0x12fff90_0, 0, 2;
L_0xe7bda0 .part v0xa66be0_0, 0, 2;
L_0x1715170 .part v0x12fff90_0, 0, 3;
L_0xe7c1a0 .part v0xa66be0_0, 0, 3;
S_0x12f76b0 .scope module, "a8" "arith8" 4 249, 4 85 0, S_0x12f72b0;
S_0xa5e080 .scope module, "a8" "arith8" 4 250, 4 85 0, S_0xa5dc80;
 .timescale -9 -9;
 .timescale -9 -9;
    .port_info 0 /INPUT 8 "a_in"
    .port_info 0 /INPUT 8 "a_in"
    .port_info 1 /INPUT 8 "b_in"
    .port_info 1 /INPUT 8 "b_in"
    .port_info 2 /INPUT 1 "carry_in"
    .port_info 2 /INPUT 1 "carry_in"
    .port_info 3 /INPUT 1 "half_c_in"
    .port_info 3 /INPUT 1 "half_c_in"
    .port_info 4 /INPUT 2 "opcode_in"
    .port_info 4 /INPUT 2 "opcode_in"
    .port_info 5 /OUTPUT 8 "q_out"
    .port_info 5 /OUTPUT 8 "q_out"
    .port_info 6 /OUTPUT 1 "carry_out"
    .port_info 6 /OUTPUT 1 "carry_out"
    .port_info 7 /OUTPUT 1 "overflow_out"
    .port_info 7 /OUTPUT 1 "overflow_out"
    .port_info 8 /OUTPUT 1 "half_c_out"
    .port_info 8 /OUTPUT 1 "half_c_out"
v0x12f7ae0_0 .net "a_in", 7 0, L_0x1714ca0;  alias, 1 drivers
v0xa5e4b0_0 .net *"_s1", 0 0, L_0xe7b9b0;  1 drivers
v0x12f7be0_0 .net "b_in", 7 0, L_0x1714d40;  alias, 1 drivers
L_0x7f602e81f3c0 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
v0x12f7cc0_0 .net "carry_in", 0 0, L_0x1710470;  alias, 1 drivers
v0xa5e5b0_0 .net/2u *"_s2", 0 0, L_0x7f602e81f3c0;  1 drivers
v0x12f7d90_0 .var "carry_out", 0 0;
v0xa5e690_0 .net "a_in", 7 0, L_0xe7bba0;  alias, 1 drivers
v0x12f7e50_0 .net "half_c_in", 0 0, L_0x1710850;  alias, 1 drivers
v0xa5e780_0 .net "b_in", 7 0, L_0xe7bc40;  alias, 1 drivers
v0x12f7f60_0 .var "half_c_out", 0 0;
v0xa5e860_0 .net "carry", 0 0, L_0xe7ba50;  1 drivers
v0x12f8020_0 .net "opcode_in", 1 0, L_0x1714ab0;  1 drivers
v0xa5e970_0 .net "carry_in", 0 0, L_0xe77350;  alias, 1 drivers
v0x12f8100_0 .var "overflow_out", 0 0;
v0xa5ea30_0 .var "carry_out", 0 0;
v0x12f81c0_0 .var "q_out", 7 0;
v0xa5eaf0_0 .net "half_c_in", 0 0, L_0xe77730;  alias, 1 drivers
E_0x12f7970/0 .event edge, v0x12f8020_0, v0x12f7ae0_0, v0x12f7be0_0, v0x12f81c0_0;
v0xa5ebb0_0 .var "half_c_out", 0 0;
E_0x12f7970/1 .event edge, v0x12f7e50_0;
v0xa5ed00_0 .net "opcode_in", 1 0, L_0xe7bda0;  1 drivers
E_0x12f7970 .event/or E_0x12f7970/0, E_0x12f7970/1;
v0xa5ede0_0 .var "overflow_out", 0 0;
E_0x12f7a00 .event edge, v0x12f8020_0, v0x12f7ae0_0, v0x12f7be0_0, v0x12f81c0_0;
v0xa5eea0_0 .var "q_out", 7 0;
E_0x12f7a70 .event edge, v0x12f8020_0, v0x12f7ae0_0, v0x12f7be0_0, v0x12f7cc0_0;
E_0xa5e340/0 .event edge, v0xa5ed00_0, v0xa5e690_0, v0xa5e780_0, v0xa5eea0_0;
S_0x12f8450 .scope module, "l8" "logic8" 4 248, 4 62 0, S_0x12f72b0;
E_0xa5e340/1 .event edge, v0xa5eaf0_0;
 
E_0xa5e340 .event/or E_0xa5e340/0, E_0xa5e340/1;
 
E_0xa5e3d0 .event edge, v0xa5ed00_0, v0xa5e690_0, v0xa5e780_0, v0xa5eea0_0;
 
E_0xa5e440 .event edge, v0xa5ed00_0, v0xa5e690_0, v0xa5e780_0, v0xa5e860_0;
 
L_0xe7b9b0 .part L_0xe7bda0, 1, 1;
 
L_0xe7ba50 .functor MUXZ 1, L_0x7f602e81f3c0, L_0xe77350, L_0xe7b9b0, C4<>;
 
S_0xa5f0a0 .scope module, "l8" "logic8" 4 249, 4 62 0, S_0xa5dc80;
 .timescale -9 -9;
 .timescale -9 -9;
    .port_info 0 /INPUT 8 "a_in"
    .port_info 0 /INPUT 8 "a_in"
    .port_info 1 /INPUT 8 "b_in"
    .port_info 1 /INPUT 8 "b_in"
    .port_info 2 /INPUT 2 "opcode_in"
    .port_info 2 /INPUT 2 "opcode_in"
    .port_info 3 /OUTPUT 8 "q_out"
    .port_info 3 /OUTPUT 8 "q_out"
v0x12f8700_0 .net "a_in", 7 0, L_0x1714ca0;  alias, 1 drivers
v0xa5f350_0 .net "a_in", 7 0, L_0xe7bba0;  alias, 1 drivers
v0x12f8810_0 .net "b_in", 7 0, L_0x1714d40;  alias, 1 drivers
v0xa5f460_0 .net "b_in", 7 0, L_0xe7bc40;  alias, 1 drivers
v0x12f88e0_0 .net "opcode_in", 1 0, L_0x1714c00;  1 drivers
v0xa5f530_0 .net "opcode_in", 1 0, L_0xe7bb00;  1 drivers
v0x12f89b0_0 .var "q_out", 7 0;
v0xa5f600_0 .var "q_out", 7 0;
E_0x12f86a0 .event edge, v0x12f88e0_0, v0x12f7be0_0, v0x12f7ae0_0;
E_0xa5f2f0 .event edge, v0xa5f530_0, v0xa5e780_0, v0xa5e690_0;
S_0x12f8b40 .scope module, "s8" "shift8" 4 250, 4 158 0, S_0x12f72b0;
S_0xa5f790 .scope module, "s8" "shift8" 4 251, 4 159 0, S_0xa5dc80;
 .timescale -9 -9;
 .timescale -9 -9;
    .port_info 0 /INPUT 8 "a_in"
    .port_info 0 /INPUT 8 "a_in"
    .port_info 1 /INPUT 8 "b_in"
    .port_info 1 /INPUT 8 "b_in"
    .port_info 2 /INPUT 1 "carry_in"
    .port_info 2 /INPUT 1 "carry_in"
    .port_info 3 /INPUT 1 "overflow_in"
    .port_info 3 /INPUT 1 "overflow_in"
    .port_info 4 /INPUT 3 "opcode_in"
    .port_info 4 /INPUT 3 "opcode_in"
    .port_info 5 /OUTPUT 8 "q_out"
    .port_info 5 /OUTPUT 8 "q_out"
    .port_info 6 /OUTPUT 1 "carry_out"
    .port_info 6 /OUTPUT 1 "carry_out"
    .port_info 7 /OUTPUT 1 "overflow_out"
    .port_info 7 /OUTPUT 1 "overflow_out"
v0x12f8e90_0 .net *"_s1", 0 0, L_0x1714e00;  1 drivers
v0xa5fae0_0 .net *"_s1", 0 0, L_0xe7bf20;  1 drivers
v0x12f8f90_0 .net *"_s3", 0 0, L_0x1714ea0;  1 drivers
v0xa5fbe0_0 .net *"_s3", 0 0, L_0xe7bfc0;  1 drivers
v0x12f9070_0 .net *"_s5", 0 0, L_0x1714f40;  1 drivers
v0xa5fcc0_0 .net *"_s5", 0 0, L_0xe7c060;  1 drivers
v0x12f9160_0 .net "a_in", 7 0, L_0x1714ca0;  alias, 1 drivers
v0xa5fdb0_0 .net "a_in", 7 0, L_0xe7bba0;  alias, 1 drivers
v0x12f9270_0 .net "b_in", 7 0, L_0x1714d40;  alias, 1 drivers
v0xa5fec0_0 .net "b_in", 7 0, L_0xe7bc40;  alias, 1 drivers
v0x12f93d0_0 .net "carry_in", 0 0, L_0x1710470;  alias, 1 drivers
v0xa60020_0 .net "carry_in", 0 0, L_0xe77350;  alias, 1 drivers
v0x12f9470_0 .net "carry_out", 0 0, L_0x1714fe0;  alias, 1 drivers
v0xa600c0_0 .net "carry_out", 0 0, L_0xe7c100;  alias, 1 drivers
v0x12f9510_0 .net "opcode_in", 2 0, L_0x1715170;  1 drivers
v0xa60160_0 .net "opcode_in", 2 0, L_0xe7c1a0;  1 drivers
v0x12f95f0_0 .net "overflow_in", 0 0, L_0x17105b0;  alias, 1 drivers
v0xa60240_0 .net "overflow_in", 0 0, L_0xe77490;  alias, 1 drivers
v0x12f9740_0 .var "overflow_out", 0 0;
v0xa60390_0 .var "overflow_out", 0 0;
v0x12f9800_0 .var "q_out", 7 0;
v0xa60450_0 .var "q_out", 7 0;
E_0x12f8dd0 .event edge, v0x12f95f0_0, v0x12f9510_0, v0x12f7ae0_0;
E_0xa5fa20 .event edge, v0xa60240_0, v0xa60160_0, v0xa5e690_0;
E_0x12f8e30 .event edge, v0x12f7ae0_0, v0x12f9510_0, v0x12f7cc0_0;
E_0xa5fa80 .event edge, v0xa5e690_0, v0xa60160_0, v0xa5e970_0;
L_0x1714e00 .part L_0x1715170, 0, 1;
L_0xe7bf20 .part L_0xe7c1a0, 0, 1;
L_0x1714ea0 .part L_0x1714ca0, 0, 1;
L_0xe7bfc0 .part L_0xe7bba0, 0, 1;
L_0x1714f40 .part L_0x1714ca0, 7, 1;
L_0xe7c060 .part L_0xe7bba0, 7, 1;
L_0x1714fe0 .functor MUXZ 1, L_0x1714f40, L_0x1714ea0, L_0x1714e00, C4<>;
L_0xe7c100 .functor MUXZ 1, L_0xe7c060, L_0xe7bfc0, L_0xe7bf20, C4<>;
S_0x13002b0 .scope module, "dec_alu" "decode_alu" 3 168, 5 292 0, S_0x12338f0;
S_0xa66f00 .scope module, "dec_alu" "decode_alu" 3 196, 5 290 0, S_0x86ef90;
 .timescale -9 -9;
 .timescale -9 -9;
    .port_info 0 /INPUT 8 "opcode"
    .port_info 0 /INPUT 8 "opcode"
    .port_info 1 /INPUT 8 "postbyte0"
    .port_info 1 /INPUT 8 "postbyte0"
    .port_info 2 /INPUT 1 "page2_valid"
    .port_info 2 /INPUT 1 "page2_valid"
    .port_info 3 /INPUT 1 "page3_valid"
    .port_info 3 /INPUT 1 "page3_valid"
    .port_info 4 /OUTPUT 5 "alu_opcode"
    .port_info 4 /OUTPUT 5 "alu_opcode"
    .port_info 5 /OUTPUT 2 "dec_alu_right_path_mod"
    .port_info 5 /OUTPUT 2 "dec_alu_right_path_mod"
    .port_info 6 /OUTPUT 1 "dest_flags"
    .port_info 6 /OUTPUT 1 "dest_flags"
L_0x7f9fafafa690 .functor BUFT 1, C4<00000>, C4<0>, C4<0>, C4<0>;
L_0x7f602e81f720 .functor BUFT 1, C4<00000>, C4<0>, C4<0>, C4<0>;
v0x1300580_0 .net/2u *"_s0", 4 0, L_0x7f9fafafa690;  1 drivers
v0xa671d0_0 .net/2u *"_s0", 4 0, L_0x7f602e81f720;  1 drivers
v0x1300680_0 .var "alu_opcode", 4 0;
v0xa672d0_0 .var "alu_opcode", 4 0;
v0x1300740_0 .var "dec_alu_right_path_mod", 1 0;
v0xa67390_0 .var "dec_alu_right_path_mod", 1 0;
v0x13007e0_0 .net "dest_flags", 0 0, L_0x1727af0;  alias, 1 drivers
v0xa67430_0 .net "dest_flags", 0 0, L_0xe8eae0;  alias, 1 drivers
v0x13008a0_0 .net "opcode", 7 0, v0x130cd20_0;  1 drivers
v0xa674f0_0 .net "opcode", 7 0, v0xa73a90_0;  1 drivers
v0x1300980_0 .net "page2_valid", 0 0, v0x130ce50_0;  1 drivers
v0xa675d0_0 .net "page2_valid", 0 0, v0xa73be0_0;  1 drivers
v0x1300a40_0 .net "page3_valid", 0 0, v0x130cf80_0;  1 drivers
v0xa67690_0 .net "page3_valid", 0 0, v0xa73d10_0;  1 drivers
v0x1300b00_0 .net "postbyte0", 7 0, v0x130d020_0;  1 drivers
v0xa67750_0 .net "postbyte0", 7 0, v0xa73db0_0;  1 drivers
E_0x1300540 .event edge, v0x13008a0_0, v0x1300980_0, v0x1300b00_0, v0x1300a40_0;
E_0xa67190 .event edge, v0xa674f0_0, v0xa675d0_0, v0xa67750_0, v0xa67690_0;
L_0x1727af0 .cmp/ne 5, v0x1300680_0, L_0x7f9fafafa690;
L_0xe8eae0 .cmp/ne 5, v0xa672d0_0, L_0x7f602e81f720;
S_0x1300d00 .scope module, "dec_ea" "decode_ea" 3 156, 5 266 0, S_0x12338f0;
S_0xa67950 .scope module, "dec_ea" "decode_ea" 3 184, 5 264 0, S_0x86ef90;
 .timescale -9 -9;
 .timescale -9 -9;
    .port_info 0 /INPUT 8 "eapostbyte"
    .port_info 0 /INPUT 8 "eapostbyte"
    .port_info 1 /OUTPUT 1 "noofs"
    .port_info 1 /OUTPUT 1 "noofs"
    .port_info 2 /OUTPUT 1 "ofs8"
    .port_info 2 /OUTPUT 1 "ofs8"
    .port_info 3 /OUTPUT 1 "ofs16"
    .port_info 3 /OUTPUT 1 "ofs16"
    .port_info 4 /OUTPUT 1 "write_post"
    .port_info 4 /OUTPUT 1 "write_post"
    .port_info 5 /OUTPUT 1 "isind"
    .port_info 5 /OUTPUT 1 "isind"
L_0x1727850 .functor AND 1, L_0x1727680, L_0x17277b0, C4<1>, C4<1>;
L_0xe8e840 .functor AND 1, L_0xe8e670, L_0xe8e7a0, C4<1>, C4<1>;
v0x1300fc0_0 .net *"_s1", 0 0, L_0x1727680;  1 drivers
v0xa67c10_0 .net *"_s1", 0 0, L_0xe8e670;  1 drivers
v0x13010c0_0 .net *"_s3", 0 0, L_0x17277b0;  1 drivers
v0xa67d10_0 .net *"_s3", 0 0, L_0xe8e7a0;  1 drivers
v0x13011a0_0 .net *"_s4", 0 0, L_0x1727850;  1 drivers
v0xa67df0_0 .net *"_s4", 0 0, L_0xe8e840;  1 drivers
L_0x7f9fafafa600 .functor BUFT 1, C4<1>, C4<0>, C4<0>, C4<0>;
L_0x7f602e81f690 .functor BUFT 1, C4<1>, C4<0>, C4<0>, C4<0>;
v0x1301290_0 .net/2u *"_s6", 0 0, L_0x7f9fafafa600;  1 drivers
v0xa67ee0_0 .net/2u *"_s6", 0 0, L_0x7f602e81f690;  1 drivers
L_0x7f9fafafa648 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
L_0x7f602e81f6d8 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
v0x1301370_0 .net/2u *"_s8", 0 0, L_0x7f9fafafa648;  1 drivers
v0xa67fc0_0 .net/2u *"_s8", 0 0, L_0x7f602e81f6d8;  1 drivers
v0x13014a0_0 .net "eapostbyte", 7 0, v0x130c330_0;  1 drivers
v0xa680f0_0 .net "eapostbyte", 7 0, v0xa73100_0;  1 drivers
v0x1301580_0 .net "isind", 0 0, L_0x1727910;  alias, 1 drivers
v0xa681d0_0 .net "isind", 0 0, L_0xe8e900;  alias, 1 drivers
v0x1301640_0 .var "noofs", 0 0;
v0xa68290_0 .var "noofs", 0 0;
v0x1301700_0 .var "ofs16", 0 0;
v0xa68350_0 .var "ofs16", 0 0;
v0x1301850_0 .var "ofs8", 0 0;
v0xa684a0_0 .var "ofs8", 0 0;
v0x1301910_0 .var "write_post", 0 0;
v0xa68560_0 .var "write_post", 0 0;
E_0x1300f60 .event edge, v0x13014a0_0;
E_0xa67bb0 .event edge, v0xa680f0_0;
L_0x1727680 .part v0x130c330_0, 7, 1;
L_0xe8e670 .part v0xa73100_0, 7, 1;
L_0x17277b0 .part v0x130c330_0, 4, 1;
L_0xe8e7a0 .part v0xa73100_0, 4, 1;
L_0x1727910 .functor MUXZ 1, L_0x7f9fafafa648, L_0x7f9fafafa600, L_0x1727850, C4<>;
L_0xe8e900 .functor MUXZ 1, L_0x7f602e81f6d8, L_0x7f602e81f690, L_0xe8e840, C4<>;
S_0x1301ad0 .scope module, "dec_op" "decode_op" 3 146, 5 138 0, S_0x12338f0;
S_0xa68720 .scope module, "dec_op" "decode_op" 3 174, 5 136 0, S_0x86ef90;
 .timescale -9 -9;
 .timescale -9 -9;
    .port_info 0 /INPUT 8 "opcode"
    .port_info 0 /INPUT 8 "opcode"
    .port_info 1 /INPUT 8 "postbyte0"
    .port_info 1 /INPUT 8 "postbyte0"
    .port_info 2 /INPUT 1 "page2_valid"
    .port_info 2 /INPUT 1 "page2_valid"
    .port_info 3 /INPUT 1 "page3_valid"
    .port_info 3 /INPUT 1 "page3_valid"
    .port_info 4 /OUTPUT 3 "mode"
    .port_info 4 /OUTPUT 3 "mode"
    .port_info 5 /OUTPUT 3 "optype"
    .port_info 5 /OUTPUT 3 "optype"
    .port_info 6 /OUTPUT 1 "use_s"
    .port_info 6 /OUTPUT 1 "use_s"
v0x1301da0_0 .var "mode", 2 0;
v0xa689f0_0 .var "mode", 2 0;
v0x1301ea0_0 .net "opcode", 7 0, v0x130cd20_0;  alias, 1 drivers
v0xa68af0_0 .net "opcode", 7 0, v0xa73a90_0;  alias, 1 drivers
v0x1301f90_0 .net "oplo", 3 0, L_0x17275e0;  1 drivers
v0xa68be0_0 .net "oplo", 3 0, L_0xe8e5d0;  1 drivers
v0x1302060_0 .var "optype", 2 0;
v0xa68cb0_0 .var "optype", 2 0;
v0x1302140_0 .net "page2_valid", 0 0, v0x130ce50_0;  alias, 1 drivers
v0xa68d90_0 .net "page2_valid", 0 0, v0xa73be0_0;  alias, 1 drivers
v0x13021e0_0 .net "page3_valid", 0 0, v0x130cf80_0;  alias, 1 drivers
v0xa68e30_0 .net "page3_valid", 0 0, v0xa73d10_0;  alias, 1 drivers
v0x13022b0_0 .net "postbyte0", 7 0, v0x130d020_0;  alias, 1 drivers
v0xa68f00_0 .net "postbyte0", 7 0, v0xa73db0_0;  alias, 1 drivers
v0x1302380_0 .var "size", 0 0;
v0xa68fd0_0 .var "size", 0 0;
v0x1302420_0 .var "use_s", 0 0;
v0xa69070_0 .var "use_s", 0 0;
E_0x1301d40/0 .event edge, v0x1301f90_0, v0x1300a40_0, v0x1300980_0, v0x1300b00_0;
E_0xa68990/0 .event edge, v0xa68be0_0, v0xa67690_0, v0xa675d0_0, v0xa67750_0;
E_0x1301d40/1 .event edge, v0x13008a0_0;
E_0xa68990/1 .event edge, v0xa674f0_0;
E_0x1301d40 .event/or E_0x1301d40/0, E_0x1301d40/1;
E_0xa68990 .event/or E_0xa68990/0, E_0xa68990/1;
L_0x17275e0 .part v0x130cd20_0, 0, 4;
L_0xe8e5d0 .part v0xa73a90_0, 0, 4;
S_0x1302670 .scope module, "dec_regs" "decode_regs" 3 132, 5 9 0, S_0x12338f0;
S_0xa692c0 .scope module, "dec_regs" "decode_regs" 3 160, 5 9 0, S_0x86ef90;
 .timescale -9 -9;
 .timescale -9 -9;
    .port_info 0 /INPUT 1 "cpu_clk"
    .port_info 0 /INPUT 1 "cpu_clk"
    .port_info 1 /INPUT 8 "opcode"
    .port_info 1 /INPUT 8 "opcode"
    .port_info 2 /INPUT 8 "postbyte0"
    .port_info 2 /INPUT 8 "postbyte0"
    .port_info 3 /INPUT 1 "page2_valid"
    .port_info 3 /INPUT 1 "page2_valid"
Line 625... Line 637...
    .port_info 6 /OUTPUT 4 "path_right_addr_o"
    .port_info 6 /OUTPUT 4 "path_right_addr_o"
    .port_info 7 /OUTPUT 4 "dest_reg_o"
    .port_info 7 /OUTPUT 4 "dest_reg_o"
    .port_info 8 /OUTPUT 1 "write_dest"
    .port_info 8 /OUTPUT 1 "write_dest"
    .port_info 9 /OUTPUT 1 "source_size"
    .port_info 9 /OUTPUT 1 "source_size"
    .port_info 10 /OUTPUT 1 "result_size"
    .port_info 10 /OUTPUT 1 "result_size"
L_0x7f9fafafa498 .functor BUFT 1, C4<1111>, C4<0>, C4<0>, C4<0>;
L_0x7f602e81f528 .functor BUFT 1, C4<1111>, C4<0>, C4<0>, C4<0>;
v0x13029c0_0 .net/2u *"_s0", 3 0, L_0x7f9fafafa498;  1 drivers
v0xa69610_0 .net/2u *"_s0", 3 0, L_0x7f602e81f528;  1 drivers
v0x1302ac0_0 .net *"_s10", 0 0, L_0x1727220;  1 drivers
v0xa69710_0 .net *"_s10", 0 0, L_0xe8e210;  1 drivers
L_0x7f9fafafa570 .functor BUFT 1, C4<01>, C4<0>, C4<0>, C4<0>;
L_0x7f602e81f600 .functor BUFT 1, C4<01>, C4<0>, C4<0>, C4<0>;
v0x1302b80_0 .net/2s *"_s12", 1 0, L_0x7f9fafafa570;  1 drivers
v0xa697d0_0 .net/2s *"_s12", 1 0, L_0x7f602e81f600;  1 drivers
L_0x7f9fafafa5b8 .functor BUFT 1, C4<00>, C4<0>, C4<0>, C4<0>;
L_0x7f602e81f648 .functor BUFT 1, C4<00>, C4<0>, C4<0>, C4<0>;
v0x1302c70_0 .net/2s *"_s14", 1 0, L_0x7f9fafafa5b8;  1 drivers
v0xa698c0_0 .net/2s *"_s14", 1 0, L_0x7f602e81f648;  1 drivers
v0x1302d50_0 .net *"_s16", 1 0, L_0x1727360;  1 drivers
v0xa699a0_0 .net *"_s16", 1 0, L_0xe8e350;  1 drivers
L_0x7f9fafafa4e0 .functor BUFT 1, C4<1000>, C4<0>, C4<0>, C4<0>;
L_0x7f602e81f570 .functor BUFT 1, C4<1000>, C4<0>, C4<0>, C4<0>;
v0x1302e80_0 .net/2u *"_s4", 3 0, L_0x7f9fafafa4e0;  1 drivers
v0xa69ad0_0 .net/2u *"_s4", 3 0, L_0x7f602e81f570;  1 drivers
L_0x7f9fafafa528 .functor BUFT 1, C4<0111>, C4<0>, C4<0>, C4<0>;
L_0x7f602e81f5b8 .functor BUFT 1, C4<0111>, C4<0>, C4<0>, C4<0>;
v0x1302f60_0 .net/2u *"_s8", 3 0, L_0x7f9fafafa528;  1 drivers
v0xa69bb0_0 .net/2u *"_s8", 3 0, L_0x7f602e81f5b8;  1 drivers
v0x1303040_0 .net "cpu_clk", 0 0, v0x170f420_0;  alias, 1 drivers
v0xa69c90_0 .net "cpu_clk", 0 0, v0xe76300_0;  alias, 1 drivers
v0x1303170_0 .var "dest_reg", 3 0;
v0xa69dc0_0 .var "dest_reg", 3 0;
v0x13032e0_0 .var "dest_reg_o", 3 0;
v0xa69f30_0 .var "dest_reg_o", 3 0;
v0x13033c0_0 .net "opcode", 7 0, v0x130cd20_0;  alias, 1 drivers
v0xa6a010_0 .net "opcode", 7 0, v0xa73a90_0;  alias, 1 drivers
v0x1303480_0 .net "page2_valid", 0 0, v0x130ce50_0;  alias, 1 drivers
v0xa6a0d0_0 .net "page2_valid", 0 0, v0xa73be0_0;  alias, 1 drivers
v0x1303520_0 .net "page3_valid", 0 0, v0x130cf80_0;  alias, 1 drivers
v0xa6a170_0 .net "page3_valid", 0 0, v0xa73d10_0;  alias, 1 drivers
v0x1303610_0 .var "path_left_addr", 3 0;
v0xa6a260_0 .var "path_left_addr", 3 0;
v0x13036f0_0 .var "path_left_addr_o", 3 0;
v0xa6a340_0 .var "path_left_addr_o", 3 0;
v0x13037d0_0 .var "path_right_addr", 3 0;
v0xa6a420_0 .var "path_right_addr", 3 0;
v0x13038b0_0 .var "path_right_addr_o", 3 0;
v0xa6a500_0 .var "path_right_addr_o", 3 0;
v0x1303a60_0 .net "postbyte0", 7 0, v0x130d020_0;  alias, 1 drivers
v0xa6a6b0_0 .net "postbyte0", 7 0, v0xa73db0_0;  alias, 1 drivers
v0x1303b50_0 .net "result_size", 0 0, L_0x17274f0;  alias, 1 drivers
v0xa6a7a0_0 .net "result_size", 0 0, L_0xe8e4e0;  alias, 1 drivers
v0x1303bf0_0 .net "source_size", 0 0, L_0x17270e0;  alias, 1 drivers
v0xa6a840_0 .net "source_size", 0 0, L_0xe8e0d0;  alias, 1 drivers
v0x1303c90_0 .net "write_dest", 0 0, L_0x1726ff0;  alias, 1 drivers
v0xa6a8e0_0 .net "write_dest", 0 0, L_0xe8df90;  alias, 1 drivers
E_0x1302960 .event edge, v0x1300a40_0, v0x1300980_0, v0x1300b00_0, v0x13008a0_0;
E_0xa695b0 .event edge, v0xa67690_0, v0xa675d0_0, v0xa67750_0, v0xa674f0_0;
L_0x1726ff0 .cmp/ne 4, v0x1303170_0, L_0x7f9fafafa498;
L_0xe8df90 .cmp/ne 4, v0xa69dc0_0, L_0x7f602e81f528;
L_0x17270e0 .cmp/gt 4, L_0x7f9fafafa4e0, v0x1303610_0;
L_0xe8e0d0 .cmp/gt 4, L_0x7f602e81f570, v0xa6a260_0;
L_0x1727220 .cmp/gt 4, L_0x7f9fafafa528, v0x1303170_0;
L_0xe8e210 .cmp/gt 4, L_0x7f602e81f5b8, v0xa69dc0_0;
L_0x1727360 .functor MUXZ 2, L_0x7f9fafafa5b8, L_0x7f9fafafa570, L_0x1727220, C4<>;
L_0xe8e350 .functor MUXZ 2, L_0x7f602e81f648, L_0x7f602e81f600, L_0xe8e210, C4<>;
L_0x17274f0 .part L_0x1727360, 0, 1;
L_0xe8e4e0 .part L_0xe8e350, 0, 1;
S_0x1303ef0 .scope module, "regs" "regblock" 3 100, 6 7 0, S_0x12338f0;
S_0xa6ab40 .scope module, "regs" "regblock" 3 128, 6 7 0, S_0x86ef90;
 .timescale -9 -9;
 .timescale -9 -9;
    .port_info 0 /INPUT 1 "clk_in"
    .port_info 0 /INPUT 1 "clk_in"
    .port_info 1 /INPUT 4 "path_left_addr"
    .port_info 1 /INPUT 4 "path_left_addr"
    .port_info 2 /INPUT 4 "path_right_addr"
    .port_info 2 /INPUT 4 "path_right_addr"
    .port_info 3 /INPUT 4 "write_reg_addr"
    .port_info 3 /INPUT 4 "write_reg_addr"
Line 688... Line 700...
    .port_info 24 /OUTPUT 16 "path_right_data"
    .port_info 24 /OUTPUT 16 "path_right_data"
    .port_info 25 /OUTPUT 16 "eamem_addr_o"
    .port_info 25 /OUTPUT 16 "eamem_addr_o"
    .port_info 26 /OUTPUT 16 "reg_pc"
    .port_info 26 /OUTPUT 16 "reg_pc"
    .port_info 27 /OUTPUT 8 "reg_dp"
    .port_info 27 /OUTPUT 8 "reg_dp"
    .port_info 28 /OUTPUT 16 "reg_su"
    .port_info 28 /OUTPUT 16 "reg_su"
L_0x1715da0 .functor BUFZ 16, v0x1305e60_0, C4<0000000000000000>, C4<0000000000000000>, C4<0000000000000000>;
L_0xe7cce0 .functor BUFZ 16, v0xa6cab0_0, C4<0000000000000000>, C4<0000000000000000>, C4<0000000000000000>;
L_0x1715e60 .functor BUFZ 8, v0x1305c10_0, C4<00000000>, C4<00000000>, C4<00000000>;
L_0xe7cda0 .functor BUFZ 8, v0xa6c860_0, C4<00000000>, C4<00000000>, C4<00000000>;
L_0x1716230 .functor BUFZ 16, v0x1304f00_0, C4<0000000000000000>, C4<0000000000000000>, C4<0000000000000000>;
L_0xe7d170 .functor BUFZ 16, v0xa6bb50_0, C4<0000000000000000>, C4<0000000000000000>, C4<0000000000000000>;
L_0x1712430 .functor OR 1, v0x130da80_0, v0x130d810_0, C4<0>, C4<0>;
L_0xe8d2e0 .functor OR 1, v0xa74830_0, v0xa745c0_0, C4<0>, C4<0>;
L_0x1726760 .functor OR 1, v0x130c190_0, v0x130d8e0_0, C4<0>, C4<0>;
L_0xe8d760 .functor OR 1, v0xa72f60_0, v0xa74690_0, C4<0>, C4<0>;
v0x13058f0_0 .var "ACCA", 7 0;
v0xa6c540_0 .var "ACCA", 7 0;
v0x13059d0_0 .var "ACCB", 7 0;
v0xa6c620_0 .var "ACCB", 7 0;
v0x1305a70_0 .net "CCR_in", 7 0, v0x12ff4f0_0;  alias, 1 drivers
v0xa6c6c0_0 .net "CCR_in", 7 0, v0xa66140_0;  alias, 1 drivers
v0x1305b70_0 .net "CCR_o", 7 0, L_0x1715bb0;  alias, 1 drivers
v0xa6c7c0_0 .net "CCR_o", 7 0, L_0xe7cbe0;  alias, 1 drivers
v0x1305c10_0 .var "DP", 7 0;
v0xa6c860_0 .var "DP", 7 0;
v0x1305cd0_0 .var "IX", 15 0;
v0xa6c920_0 .var "IX", 15 0;
v0x1305d90_0 .var "IY", 15 0;
v0xa6c9e0_0 .var "IY", 15 0;
v0x1305e60_0 .var "PC", 15 0;
v0xa6cab0_0 .var "PC", 15 0;
v0x1305f30_0 .var "SS", 15 0;
v0xa6cb80_0 .var "SS", 15 0;
v0x1306090_0 .var "SU", 15 0;
v0xa6cce0_0 .var "SU", 15 0;
L_0x7f9fafafa378 .functor BUFT 1, C4<0000000000000001>, C4<0>, C4<0>, C4<0>;
L_0x7f602e81f408 .functor BUFT 1, C4<0000000000000001>, C4<0>, C4<0>, C4<0>;
v0x1306160_0 .net/2u *"_s10", 15 0, L_0x7f9fafafa378;  1 drivers
v0xa6cdb0_0 .net/2u *"_s10", 15 0, L_0x7f602e81f408;  1 drivers
v0x1306220_0 .net *"_s14", 0 0, L_0x1712430;  1 drivers
v0xa6ce70_0 .net *"_s14", 0 0, L_0xe8d2e0;  1 drivers
v0x1306300_0 .net *"_s20", 0 0, L_0x1726760;  1 drivers
v0xa6cf50_0 .net *"_s20", 0 0, L_0xe8d760;  1 drivers
L_0x7f9fafafa3c0 .functor BUFT 1, C4<0101>, C4<0>, C4<0>, C4<0>;
L_0x7f602e81f450 .functor BUFT 1, C4<0101>, C4<0>, C4<0>, C4<0>;
v0x13063e0_0 .net/2u *"_s22", 3 0, L_0x7f9fafafa3c0;  1 drivers
v0xa6d030_0 .net/2u *"_s22", 3 0, L_0x7f602e81f450;  1 drivers
v0x13064c0_0 .var "cff", 0 0;
v0xa6d110_0 .var "cff", 0 0;
v0x1306580_0 .net "clear_e", 0 0, v0x130ba90_0;  1 drivers
v0xa6d1d0_0 .net "clear_e", 0 0, v0xa72860_0;  1 drivers
v0x1306640_0 .net "clk_in", 0 0, v0x170f420_0;  alias, 1 drivers
v0xa6d290_0 .net "clk_in", 0 0, v0xe76300_0;  alias, 1 drivers
v0x13067f0_0 .net "data_w", 15 0, v0x130a9b0_0;  1 drivers
v0xa6d440_0 .net "data_w", 15 0, v0xa71600_0;  1 drivers
v0x1306890_0 .net "dec_su", 0 0, v0x130bde0_0;  1 drivers
v0xa6d4e0_0 .net "dec_su", 0 0, v0xa72bb0_0;  1 drivers
v0x1306930_0 .net "ea_reg_post", 15 0, L_0x1716170;  1 drivers
v0xa6d580_0 .net "ea_reg_post", 15 0, L_0xe7d0b0;  1 drivers
v0x1306a00_0 .net "eamem_addr", 15 0, v0x1304f00_0;  1 drivers
v0xa6d650_0 .net "eamem_addr", 15 0, v0xa6bb50_0;  1 drivers
v0x1306ad0_0 .net "eamem_addr_o", 15 0, L_0x1716230;  alias, 1 drivers
v0xa6d720_0 .net "eamem_addr_o", 15 0, L_0xe7d170;  alias, 1 drivers
v0x1306b90_0 .net "eapostbyte", 7 0, v0x130c330_0;  alias, 1 drivers
v0xa6d7e0_0 .net "eapostbyte", 7 0, v0xa73100_0;  alias, 1 drivers
v0x1306c50_0 .var "eflag", 0 0;
v0xa6d8a0_0 .var "eflag", 0 0;
v0x1306d10_0 .net "exg_dest_r", 3 0, L_0x1726960;  1 drivers
v0xa6d960_0 .net "exg_dest_r", 3 0, L_0xe8d9a0;  1 drivers
v0x1306df0_0 .var "fflag", 0 0;
v0xa6da40_0 .var "fflag", 0 0;
v0x1306eb0_0 .var "hflag", 0 0;
v0xa6db00_0 .var "hflag", 0 0;
v0x1306f70_0 .net "inc_pc", 0 0, v0x130c190_0;  1 drivers
v0xa6dbc0_0 .net "inc_pc", 0 0, v0xa72f60_0;  1 drivers
v0x1307030_0 .net "inc_su", 0 0, v0x130c260_0;  1 drivers
v0xa6dc80_0 .net "inc_su", 0 0, v0xa73030_0;  1 drivers
v0x13070f0_0 .var "intff", 0 0;
v0xa6dd40_0 .var "intff", 0 0;
v0x13071b0_0 .net "left", 15 0, L_0x1726440;  1 drivers
v0xa6de00_0 .net "left", 15 0, L_0xe8d440;  1 drivers
v0x1307290_0 .net "new_pc", 15 0, v0x130c7d0_0;  1 drivers
v0xa6dee0_0 .net "new_pc", 15 0, v0xa71c40_0;  1 drivers
v0x1307370_0 .var "nff", 0 0;
v0xa6dfc0_0 .var "nff", 0 0;
v0x1306700_0 .net "offset16", 15 0, L_0x1726a50;  1 drivers
v0xa6d350_0 .net "offset16", 15 0, L_0xe8da90;  1 drivers
v0x1307620_0 .net "path_left_addr", 3 0, v0x130a760_0;  1 drivers
v0xa6e270_0 .net "path_left_addr", 3 0, v0xa713b0_0;  1 drivers
v0x13076c0_0 .var "path_left_data", 15 0;
v0xa6e310_0 .var "path_left_data", 15 0;
v0x13077a0_0 .net "path_right_addr", 3 0, v0x13038b0_0;  alias, 1 drivers
v0xa6e3f0_0 .net "path_right_addr", 3 0, v0xa6a500_0;  alias, 1 drivers
v0x1307890_0 .var "path_right_data", 15 0;
v0xa6e4e0_0 .var "path_right_data", 15 0;
v0x1307950_0 .net "pc_plus_1", 15 0, L_0x1726300;  1 drivers
v0xa6e5a0_0 .net "pc_plus_1", 15 0, L_0xe8d240;  1 drivers
v0x1307a30_0 .net "reg_dp", 7 0, L_0x1715e60;  alias, 1 drivers
v0xa6e680_0 .net "reg_dp", 7 0, L_0xe7cda0;  alias, 1 drivers
v0x1307b10_0 .net "reg_pc", 15 0, L_0x1715da0;  alias, 1 drivers
v0xa6e760_0 .net "reg_pc", 15 0, L_0xe7cce0;  alias, 1 drivers
v0x1307bf0_0 .net "reg_su", 15 0, L_0x1715f20;  alias, 1 drivers
v0xa6e840_0 .net "reg_su", 15 0, L_0xe7ce60;  alias, 1 drivers
v0x1307cd0_0 .net "right", 15 0, L_0x17265d0;  1 drivers
v0xa6e920_0 .net "right", 15 0, L_0xe8d5d0;  1 drivers
v0x1307db0_0 .net "right_reg", 3 0, L_0x1726820;  1 drivers
v0xa6ea00_0 .net "right_reg", 3 0, L_0xe8d820;  1 drivers
v0x1307e90_0 .net "set_e", 0 0, v0x130d670_0;  1 drivers
v0xa6eae0_0 .net "set_e", 0 0, v0xa74420_0;  1 drivers
v0x1307f50_0 .net "use_s", 0 0, v0x1302420_0;  alias, 1 drivers
v0xa6eba0_0 .net "use_s", 0 0, v0xa69070_0;  alias, 1 drivers
v0x1308020_0 .var "vff", 0 0;
v0xa6ec70_0 .var "vff", 0 0;
v0x13080c0_0 .net "write_exg", 0 0, v0x130d810_0;  1 drivers
v0xa6ed10_0 .net "write_exg", 0 0, v0xa745c0_0;  1 drivers
v0x1308180_0 .net "write_flags", 0 0, L_0x1726e20;  1 drivers
v0xa6edd0_0 .net "write_flags", 0 0, L_0xe8ded0;  1 drivers
v0x1308240_0 .net "write_pc", 0 0, v0x130d8e0_0;  1 drivers
v0xa6ee90_0 .net "write_pc", 0 0, v0xa74690_0;  1 drivers
v0x1308300_0 .net "write_post", 0 0, v0x130d9b0_0;  1 drivers
v0xa6ef50_0 .net "write_post", 0 0, v0xa74760_0;  1 drivers
v0x13083c0_0 .net "write_reg", 0 0, v0x130d740_0;  1 drivers
v0xa6f010_0 .net "write_reg", 0 0, v0xa744f0_0;  1 drivers
v0x1308480_0 .net "write_reg_addr", 3 0, v0x130aa80_0;  1 drivers
v0xa6f0d0_0 .net "write_reg_addr", 3 0, v0xa716d0_0;  1 drivers
v0x1308560_0 .net "write_tfr", 0 0, v0x130da80_0;  1 drivers
v0xa6f1b0_0 .net "write_tfr", 0 0, v0xa74830_0;  1 drivers
v0x1308620_0 .var "zff", 0 0;
v0xa6f270_0 .var "zff", 0 0;
E_0x1304460/0 .event edge, v0x13038b0_0, v0x1304a50_0, v0x1304b50_0, v0x1305180_0;
E_0xa6b0b0/0 .event edge, v0xa6a500_0, v0xa6b6a0_0, v0xa6b7a0_0, v0xa6bdd0_0;
E_0x1304460/1 .event edge, v0x13052d0_0, v0x1305650_0, v0x1305570_0, v0x1305490_0;
E_0xa6b0b0/1 .event edge, v0xa6bf20_0, v0xa6c2a0_0, v0xa6c1c0_0, v0xa6c0e0_0;
E_0x1304460/2 .event edge, v0x1305c10_0, v0x1306c50_0, v0x1306df0_0, v0x1306eb0_0;
E_0xa6b0b0/2 .event edge, v0xa6c860_0, v0xa6d8a0_0, v0xa6da40_0, v0xa6db00_0;
E_0x1304460/3 .event edge, v0x13070f0_0, v0x1307370_0, v0x1308620_0, v0x1308020_0;
E_0xa6b0b0/3 .event edge, v0xa6dd40_0, v0xa6dfc0_0, v0xa6f270_0, v0xa6ec70_0;
E_0x1304460/4 .event edge, v0x13064c0_0;
E_0xa6b0b0/4 .event edge, v0xa6d110_0;
E_0x1304460 .event/or E_0x1304460/0, E_0x1304460/1, E_0x1304460/2, E_0x1304460/3, E_0x1304460/4;
E_0xa6b0b0 .event/or E_0xa6b0b0/0, E_0xa6b0b0/1, E_0xa6b0b0/2, E_0xa6b0b0/3, E_0xa6b0b0/4;
E_0x1304550/0 .event edge, v0x1307620_0, v0x1304a50_0, v0x1304b50_0, v0x1305180_0;
E_0xa6b1a0/0 .event edge, v0xa6e270_0, v0xa6b6a0_0, v0xa6b7a0_0, v0xa6bdd0_0;
E_0x1304550/1 .event edge, v0x13052d0_0, v0x1305650_0, v0x1305570_0, v0x1305490_0;
E_0xa6b1a0/1 .event edge, v0xa6bf20_0, v0xa6c2a0_0, v0xa6c1c0_0, v0xa6c0e0_0;
E_0x1304550/2 .event edge, v0x1305c10_0, v0x1306c50_0, v0x1306df0_0, v0x1306eb0_0;
E_0xa6b1a0/2 .event edge, v0xa6c860_0, v0xa6d8a0_0, v0xa6da40_0, v0xa6db00_0;
E_0x1304550/3 .event edge, v0x13070f0_0, v0x1307370_0, v0x1308620_0, v0x1308020_0;
E_0xa6b1a0/3 .event edge, v0xa6dd40_0, v0xa6dfc0_0, v0xa6f270_0, v0xa6ec70_0;
E_0x1304550/4 .event edge, v0x13064c0_0;
E_0xa6b1a0/4 .event edge, v0xa6d110_0;
E_0x1304550 .event/or E_0x1304550/0, E_0x1304550/1, E_0x1304550/2, E_0x1304550/3, E_0x1304550/4;
E_0xa6b1a0 .event/or E_0xa6b1a0/0, E_0xa6b1a0/1, E_0xa6b1a0/2, E_0xa6b1a0/3, E_0xa6b1a0/4;
LS_0x1715bb0_0_0 .concat [ 1 1 1 1], v0x13064c0_0, v0x1308020_0, v0x1308620_0, v0x1307370_0;
LS_0xe7cbe0_0_0 .concat [ 1 1 1 1], v0xa6d110_0, v0xa6ec70_0, v0xa6f270_0, v0xa6dfc0_0;
LS_0x1715bb0_0_4 .concat [ 1 1 1 1], v0x13070f0_0, v0x1306eb0_0, v0x1306df0_0, v0x1306c50_0;
LS_0xe7cbe0_0_4 .concat [ 1 1 1 1], v0xa6dd40_0, v0xa6db00_0, v0xa6da40_0, v0xa6d8a0_0;
L_0x1715bb0 .concat [ 4 4 0 0], LS_0x1715bb0_0_0, LS_0x1715bb0_0_4;
L_0xe7cbe0 .concat [ 4 4 0 0], LS_0xe7cbe0_0_0, LS_0xe7cbe0_0_4;
L_0x1715f20 .functor MUXZ 16, v0x1306090_0, v0x1305f30_0, v0x1302420_0, C4<>;
L_0xe7ce60 .functor MUXZ 16, v0xa6cce0_0, v0xa6cb80_0, v0xa69070_0, C4<>;
L_0x1726300 .arith/sum 16, v0x1305e60_0, L_0x7f9fafafa378;
L_0xe8d240 .arith/sum 16, v0xa6cab0_0, L_0x7f602e81f408;
L_0x1726440 .functor MUXZ 16, v0x130a9b0_0, v0x13076c0_0, L_0x1712430, C4<>;
L_0xe8d440 .functor MUXZ 16, v0xa71600_0, v0xa6e310_0, L_0xe8d2e0, C4<>;
L_0x17265d0 .functor MUXZ 16, v0x1307890_0, L_0x1726300, v0x130c190_0, C4<>;
L_0xe8d5d0 .functor MUXZ 16, v0xa6e4e0_0, L_0xe8d240, v0xa72f60_0, C4<>;
L_0x1726820 .functor MUXZ 4, L_0x1726960, L_0x7f9fafafa3c0, L_0x1726760, C4<>;
L_0xe8d820 .functor MUXZ 4, L_0xe8d9a0, L_0x7f602e81f450, L_0xe8d760, C4<>;
S_0x1304620 .scope module, "ea" "calc_ea" 6 60, 6 191 0, S_0x1303ef0;
S_0xa6b270 .scope module, "ea" "calc_ea" 6 60, 6 191 0, S_0xa6ab40;
 .timescale -9 -9;
 .timescale -9 -9;
    .port_info 0 /INPUT 8 "eapostbyte"
    .port_info 0 /INPUT 8 "eapostbyte"
    .port_info 1 /INPUT 16 "offset16"
    .port_info 1 /INPUT 16 "offset16"
    .port_info 2 /INPUT 8 "acca"
    .port_info 2 /INPUT 8 "acca"
    .port_info 3 /INPUT 8 "accb"
    .port_info 3 /INPUT 8 "accb"
Line 783... Line 795...
    .port_info 6 /INPUT 16 "s"
    .port_info 6 /INPUT 16 "s"
    .port_info 7 /INPUT 16 "u"
    .port_info 7 /INPUT 16 "u"
    .port_info 8 /INPUT 16 "pc"
    .port_info 8 /INPUT 16 "pc"
    .port_info 9 /OUTPUT 16 "eamem_addr_o"
    .port_info 9 /OUTPUT 16 "eamem_addr_o"
    .port_info 10 /OUTPUT 16 "ea_reg_post_o"
    .port_info 10 /OUTPUT 16 "ea_reg_post_o"
L_0x1716170 .functor BUFZ 16, v0x1304cf0_0, C4<0000000000000000>, C4<0000000000000000>, C4<0000000000000000>;
L_0xe7d0b0 .functor BUFZ 16, v0xa6b940_0, C4<0000000000000000>, C4<0000000000000000>, C4<0000000000000000>;
v0x1304a50_0 .net "acca", 7 0, v0x13058f0_0;  1 drivers
v0xa6b6a0_0 .net "acca", 7 0, v0xa6c540_0;  1 drivers
v0x1304b50_0 .net "accb", 7 0, v0x13059d0_0;  1 drivers
v0xa6b7a0_0 .net "accb", 7 0, v0xa6c620_0;  1 drivers
v0x1304c30_0 .var "ea_reg", 15 0;
v0xa6b880_0 .var "ea_reg", 15 0;
v0x1304cf0_0 .var "ea_reg_post", 15 0;
v0xa6b940_0 .var "ea_reg_post", 15 0;
v0x1304dd0_0 .net "ea_reg_post_o", 15 0, L_0x1716170;  alias, 1 drivers
v0xa6ba20_0 .net "ea_reg_post_o", 15 0, L_0xe7d0b0;  alias, 1 drivers
v0x1304f00_0 .var "eamem_addr", 15 0;
v0xa6bb50_0 .var "eamem_addr", 15 0;
v0x1304fe0_0 .net "eamem_addr_o", 15 0, v0x1304f00_0;  alias, 1 drivers
v0xa6bc30_0 .net "eamem_addr_o", 15 0, v0xa6bb50_0;  alias, 1 drivers
v0x13050c0_0 .net "eapostbyte", 7 0, v0x130c330_0;  alias, 1 drivers
v0xa6bd10_0 .net "eapostbyte", 7 0, v0xa73100_0;  alias, 1 drivers
v0x1305180_0 .net "ix", 15 0, v0x1305cd0_0;  1 drivers
v0xa6bdd0_0 .net "ix", 15 0, v0xa6c920_0;  1 drivers
v0x13052d0_0 .net "iy", 15 0, v0x1305d90_0;  1 drivers
v0xa6bf20_0 .net "iy", 15 0, v0xa6c9e0_0;  1 drivers
v0x13053b0_0 .net "offset16", 15 0, L_0x1726a50;  alias, 1 drivers
v0xa6c000_0 .net "offset16", 15 0, L_0xe8da90;  alias, 1 drivers
v0x1305490_0 .net "pc", 15 0, v0x1305e60_0;  1 drivers
v0xa6c0e0_0 .net "pc", 15 0, v0xa6cab0_0;  1 drivers
v0x1305570_0 .net "s", 15 0, v0x1305f30_0;  1 drivers
v0xa6c1c0_0 .net "s", 15 0, v0xa6cb80_0;  1 drivers
v0x1305650_0 .net "u", 15 0, v0x1306090_0;  1 drivers
v0xa6c2a0_0 .net "u", 15 0, v0xa6cce0_0;  1 drivers
E_0x1304910/0 .event edge, v0x13014a0_0, v0x1304c30_0, v0x1304cf0_0, v0x1304b50_0;
E_0xa6b560/0 .event edge, v0xa680f0_0, v0xa6b880_0, v0xa6b940_0, v0xa6b7a0_0;
E_0x1304910/1 .event edge, v0x1304a50_0, v0x13053b0_0, v0x1305490_0;
E_0xa6b560/1 .event edge, v0xa6b6a0_0, v0xa6c000_0, v0xa6c0e0_0;
E_0x1304910 .event/or E_0x1304910/0, E_0x1304910/1;
E_0xa6b560 .event/or E_0xa6b560/0, E_0xa6b560/1;
E_0x13049b0 .event edge, v0x13014a0_0, v0x1304c30_0;
E_0xa6b600 .event edge, v0xa680f0_0, v0xa6b880_0;
E_0x1304a10/0 .event edge, v0x13014a0_0, v0x1305180_0, v0x13052d0_0, v0x1305650_0;
E_0xa6b660/0 .event edge, v0xa680f0_0, v0xa6bdd0_0, v0xa6bf20_0, v0xa6c2a0_0;
E_0x1304a10/1 .event edge, v0x1305570_0;
E_0xa6b660/1 .event edge, v0xa6c1c0_0;
E_0x1304a10 .event/or E_0x1304a10/0, E_0x1304a10/1;
E_0xa6b660 .event/or E_0xa6b660/0, E_0xa6b660/1;
S_0x1308b70 .scope module, "test_cond" "test_condition" 3 178, 5 365 0, S_0x12338f0;
S_0xa6f7c0 .scope module, "test_cond" "test_condition" 3 206, 5 363 0, S_0x86ef90;
 .timescale -9 -9;
 .timescale -9 -9;
    .port_info 0 /INPUT 8 "opcode"
    .port_info 0 /INPUT 8 "opcode"
    .port_info 1 /INPUT 8 "postbyte0"
    .port_info 1 /INPUT 8 "postbyte0"
    .port_info 2 /INPUT 1 "page2_valid"
    .port_info 2 /INPUT 1 "page2_valid"
    .port_info 3 /INPUT 8 "CCR"
    .port_info 3 /INPUT 8 "CCR"
    .port_info 4 /OUTPUT 1 "cond_taken"
    .port_info 4 /OUTPUT 1 "cond_taken"
v0x1308d70_0 .net "CCR", 7 0, L_0x1715bb0;  alias, 1 drivers
v0xa6f9c0_0 .net "CCR", 7 0, L_0xe7cbe0;  alias, 1 drivers
v0x1308ee0_0 .var "cond_taken", 0 0;
v0xa6fb30_0 .var "cond_taken", 0 0;
v0x1308fa0_0 .net "op", 7 0, L_0x1727be0;  1 drivers
v0xa6fbf0_0 .net "op", 7 0, L_0xe8ebd0;  1 drivers
v0x1309090_0 .net "opcode", 7 0, v0x130cd20_0;  alias, 1 drivers
v0xa6fce0_0 .net "opcode", 7 0, v0xa73a90_0;  alias, 1 drivers
v0x1309150_0 .net "page2_valid", 0 0, v0x130ce50_0;  alias, 1 drivers
v0xa6fda0_0 .net "page2_valid", 0 0, v0xa73be0_0;  alias, 1 drivers
v0x13091f0_0 .net "postbyte0", 7 0, v0x130d020_0;  alias, 1 drivers
v0xa6fe40_0 .net "postbyte0", 7 0, v0xa73db0_0;  alias, 1 drivers
E_0x1308cf0 .event edge, v0x1308fa0_0, v0x12f5e50_0;
E_0xa6f940 .event edge, v0xa6fbf0_0, v0xa5c820_0;
L_0x1727be0 .functor MUXZ 8, v0x130cd20_0, v0x130d020_0, v0x130ce50_0, C4<>;
L_0xe8ebd0 .functor MUXZ 8, v0xa73a90_0, v0xa73db0_0, v0xa73be0_0, C4<>;
S_0x130e570 .scope module, "imem" "memory" 2 30, 2 46 0, S_0x12e5f60;
S_0xa75370 .scope module, "imem" "memory" 2 30, 2 46 0, S_0xa4cca0;
 .timescale -9 -9;
 .timescale -9 -9;
    .port_info 0 /INPUT 16 "addr"
    .port_info 0 /INPUT 16 "addr"
    .port_info 1 /INPUT 1 "oe"
    .port_info 1 /INPUT 1 "oe"
    .port_info 2 /INPUT 1 "we"
    .port_info 2 /INPUT 1 "we"
    .port_info 3 /OUTPUT 8 "data_o"
    .port_info 3 /OUTPUT 8 "data_o"
    .port_info 4 /INPUT 8 "data_i"
    .port_info 4 /INPUT 8 "data_i"
v0x130ec40_0 .array/port v0x130ec40, 0;
v0xa75b20_0 .array/port v0xa75b20, 0;
L_0x1728190 .functor BUFZ 8, v0x130ec40_0, C4<00000000>, C4<00000000>, C4<00000000>;
L_0xe8f180 .functor BUFZ 8, v0xa75b20_0, C4<00000000>, C4<00000000>, C4<00000000>;
v0x130ec40_1 .array/port v0x130ec40, 1;
v0xa75b20_1 .array/port v0xa75b20, 1;
L_0x1728200 .functor BUFZ 8, v0x130ec40_1, C4<00000000>, C4<00000000>, C4<00000000>;
L_0xe8f1f0 .functor BUFZ 8, v0xa75b20_1, C4<00000000>, C4<00000000>, C4<00000000>;
v0x130ec40_2 .array/port v0x130ec40, 2;
v0xa75b20_2 .array/port v0xa75b20, 2;
L_0x1728270 .functor BUFZ 8, v0x130ec40_2, C4<00000000>, C4<00000000>, C4<00000000>;
L_0xe8f260 .functor BUFZ 8, v0xa75b20_2, C4<00000000>, C4<00000000>, C4<00000000>;
v0x130ec40_3 .array/port v0x130ec40, 3;
v0xa75b20_3 .array/port v0xa75b20, 3;
L_0x17282e0 .functor BUFZ 8, v0x130ec40_3, C4<00000000>, C4<00000000>, C4<00000000>;
L_0xe8f300 .functor BUFZ 8, v0xa75b20_3, C4<00000000>, C4<00000000>, C4<00000000>;
L_0x1728350 .functor BUFZ 8, v0x130eba0_0, C4<00000000>, C4<00000000>, C4<00000000>;
L_0xe8f3d0 .functor BUFZ 8, v0xa75a40_0, C4<00000000>, C4<00000000>, C4<00000000>;
v0x130e8c0_0 .net "addr", 15 0, v0x130bb60_0;  alias, 1 drivers
v0xa756c0_0 .net "addr", 15 0, v0xa72930_0;  alias, 1 drivers
v0x130e9d0_0 .net "data_i", 7 0, v0x130bc00_0;  alias, 1 drivers
v0xa757d0_0 .net "data_i", 7 0, v0xa729d0_0;  alias, 1 drivers
v0x130eaa0_0 .net "data_o", 7 0, L_0x1728350;  alias, 1 drivers
v0xa758a0_0 .net "data_o", 7 0, L_0xe8f3d0;  alias, 1 drivers
v0x130eba0_0 .var "latecheddata", 7 0;
v0xa759a0_0 .var/i "i", 31 0;
v0x130ec40 .array "mem", 0 65535, 7 0;
v0xa75a40_0 .var "latecheddata", 7 0;
v0x170ec00_0 .net "mem0", 7 0, L_0x1728190;  1 drivers
v0xa75b20 .array "mem", 0 65535, 7 0;
v0x170ece0_0 .net "mem1", 7 0, L_0x1728200;  1 drivers
v0xe75ae0_0 .net "mem0", 7 0, L_0xe8f180;  1 drivers
v0x170edc0_0 .net "mem2", 7 0, L_0x1728270;  1 drivers
v0xe75bc0_0 .net "mem1", 7 0, L_0xe8f1f0;  1 drivers
v0x170eea0_0 .net "mem3", 7 0, L_0x17282e0;  1 drivers
v0xe75ca0_0 .net "mem2", 7 0, L_0xe8f260;  1 drivers
v0x170f010_0 .net "oe", 0 0, L_0x17283f0;  1 drivers
v0xe75e10_0 .net "mem3", 7 0, L_0xe8f300;  1 drivers
v0x170f0d0_0 .net "we", 0 0, L_0x17284c0;  1 drivers
v0xe75ef0_0 .net "oe", 0 0, L_0xe8f470;  1 drivers
E_0x130e800 .event negedge, v0x170f010_0;
v0xe75fb0_0 .net "we", 0 0, L_0xe8f540;  1 drivers
E_0x130e860 .event negedge, v0x170f0d0_0;
E_0xa75600 .event negedge, v0xe75ef0_0;
    .scope S_0x12f8450;
E_0xa75660 .event negedge, v0xe75fb0_0;
 
    .scope S_0xa5f0a0;
T_0 ;
T_0 ;
    %wait E_0x12f86a0;
    %wait E_0xa5f2f0;
    %load/v 8, v0x12f88e0_0, 2;
    %load/v 8, v0xa5f530_0, 2;
    %cmpi/u 8, 0, 2;
    %cmpi/u 8, 0, 2;
    %jmp/1 T_0.0, 6;
    %jmp/1 T_0.0, 6;
    %cmpi/u 8, 1, 2;
    %cmpi/u 8, 1, 2;
    %jmp/1 T_0.1, 6;
    %jmp/1 T_0.1, 6;
    %cmpi/u 8, 2, 2;
    %cmpi/u 8, 2, 2;
    %jmp/1 T_0.2, 6;
    %jmp/1 T_0.2, 6;
    %cmpi/u 8, 3, 2;
    %cmpi/u 8, 3, 2;
    %jmp/1 T_0.3, 6;
    %jmp/1 T_0.3, 6;
    %jmp T_0.4;
    %jmp T_0.4;
T_0.0 ;
T_0.0 ;
    %load/v 8, v0x12f8810_0, 8;
    %load/v 8, v0xa5f460_0, 8;
    %set/v v0x12f89b0_0, 8, 8;
    %set/v v0xa5f600_0, 8, 8;
    %jmp T_0.4;
    %jmp T_0.4;
T_0.1 ;
T_0.1 ;
    %load/v 8, v0x12f8700_0, 8;
    %load/v 8, v0xa5f350_0, 8;
    %load/v 16, v0x12f8810_0, 8;
    %load/v 16, v0xa5f460_0, 8;
    %and 8, 16, 8;
    %and 8, 16, 8;
    %set/v v0x12f89b0_0, 8, 8;
    %set/v v0xa5f600_0, 8, 8;
    %jmp T_0.4;
    %jmp T_0.4;
T_0.2 ;
T_0.2 ;
    %load/v 8, v0x12f8700_0, 8;
    %load/v 8, v0xa5f350_0, 8;
    %load/v 16, v0x12f8810_0, 8;
    %load/v 16, v0xa5f460_0, 8;
    %or 8, 16, 8;
    %or 8, 16, 8;
    %set/v v0x12f89b0_0, 8, 8;
    %set/v v0xa5f600_0, 8, 8;
    %jmp T_0.4;
    %jmp T_0.4;
T_0.3 ;
T_0.3 ;
    %load/v 8, v0x12f8700_0, 8;
    %load/v 8, v0xa5f350_0, 8;
    %load/v 16, v0x12f8810_0, 8;
    %load/v 16, v0xa5f460_0, 8;
    %xor 8, 16, 8;
    %xor 8, 16, 8;
    %set/v v0x12f89b0_0, 8, 8;
    %set/v v0xa5f600_0, 8, 8;
    %jmp T_0.4;
    %jmp T_0.4;
T_0.4 ;
T_0.4 ;
    %jmp T_0;
    %jmp T_0;
    .thread T_0, $push;
    .thread T_0, $push;
    .scope S_0x12f76b0;
    .scope S_0xa5e080;
T_1 ;
T_1 ;
    %wait E_0x12f7a70;
    %wait E_0xa5e440;
    %load/v 8, v0x12f8020_0, 2;
    %load/v 8, v0xa5ed00_0, 1; Only need 1 of 2 bits
    %cmpi/u 8, 0, 2;
; Save base=8 wid=1 in lookaside.
 
    %cmpi/u 8, 0, 1;
    %jmp/1 T_1.0, 6;
    %jmp/1 T_1.0, 6;
    %cmpi/u 8, 1, 2;
    %cmpi/u 8, 1, 1;
    %jmp/1 T_1.1, 6;
    %jmp/1 T_1.1, 6;
    %cmpi/u 8, 2, 2;
    %jmp T_1.2;
    %jmp/1 T_1.2, 6;
 
    %cmpi/u 8, 3, 2;
 
    %jmp/1 T_1.3, 6;
 
    %jmp T_1.4;
 
T_1.0 ;
T_1.0 ;
    %load/v 8, v0x12f7ae0_0, 8;
    %load/v 8, v0xa5e690_0, 8;
    %mov 16, 0, 1;
 
    %load/v 17, v0x12f7be0_0, 8;
 
    %mov 25, 0, 1;
 
    %add 8, 17, 9;
 
    %set/v v0x12f81c0_0, 8, 8;
 
    %set/v v0x12f7d90_0, 16, 1;
 
    %jmp T_1.4;
 
T_1.1 ;
 
    %load/v 8, v0x12f7ae0_0, 8;
 
    %mov 16, 0, 1;
 
    %load/v 17, v0x12f7be0_0, 8;
 
    %mov 25, 0, 1;
 
    %sub 8, 17, 9;
 
    %set/v v0x12f81c0_0, 8, 8;
 
    %set/v v0x12f7d90_0, 16, 1;
 
    %jmp T_1.4;
 
T_1.2 ;
 
    %load/v 8, v0x12f7ae0_0, 8;
 
    %mov 16, 0, 1;
    %mov 16, 0, 1;
    %load/v 17, v0x12f7be0_0, 8;
    %load/v 17, v0xa5e780_0, 8;
    %mov 25, 0, 1;
    %mov 25, 0, 1;
    %add 8, 17, 9;
    %add 8, 17, 9;
    %load/v 17, v0x12f7cc0_0, 1;
    %load/v 17, v0xa5e860_0, 1;
    %mov 18, 0, 8;
    %mov 18, 0, 8;
    %add 8, 17, 9;
    %add 8, 17, 9;
    %set/v v0x12f81c0_0, 8, 8;
    %set/v v0xa5eea0_0, 8, 8;
    %set/v v0x12f7d90_0, 16, 1;
    %set/v v0xa5ea30_0, 16, 1;
    %jmp T_1.4;
    %jmp T_1.2;
T_1.3 ;
T_1.1 ;
    %load/v 8, v0x12f7ae0_0, 8;
    %load/v 8, v0xa5e690_0, 8;
    %mov 16, 0, 1;
    %mov 16, 0, 1;
    %load/v 17, v0x12f7be0_0, 8;
    %load/v 17, v0xa5e780_0, 8;
    %mov 25, 0, 1;
    %mov 25, 0, 1;
    %sub 8, 17, 9;
    %sub 8, 17, 9;
    %load/v 17, v0x12f7cc0_0, 1;
    %load/v 17, v0xa5e860_0, 1;
    %mov 18, 0, 8;
    %mov 18, 0, 8;
    %sub 8, 17, 9;
    %sub 8, 17, 9;
    %set/v v0x12f81c0_0, 8, 8;
    %set/v v0xa5eea0_0, 8, 8;
    %set/v v0x12f7d90_0, 16, 1;
    %set/v v0xa5ea30_0, 16, 1;
    %jmp T_1.4;
    %jmp T_1.2;
T_1.4 ;
T_1.2 ;
    %jmp T_1;
    %jmp T_1;
    .thread T_1, $push;
    .thread T_1, $push;
    .scope S_0x12f76b0;
    .scope S_0xa5e080;
T_2 ;
T_2 ;
    %wait E_0x12f7a00;
    %wait E_0xa5e3d0;
    %load/v 8, v0x12f8020_0, 2;
    %load/v 8, v0xa5ed00_0, 1; Only need 1 of 2 bits
    %cmpi/u 8, 0, 2;
; Save base=8 wid=1 in lookaside.
 
    %cmpi/u 8, 0, 1;
    %jmp/1 T_2.0, 6;
    %jmp/1 T_2.0, 6;
    %cmpi/u 8, 2, 2;
    %cmpi/u 8, 1, 1;
    %jmp/1 T_2.1, 6;
    %jmp/1 T_2.1, 6;
    %cmpi/u 8, 1, 2;
    %jmp T_2.2;
    %jmp/1 T_2.2, 6;
 
    %cmpi/u 8, 3, 2;
 
    %jmp/1 T_2.3, 6;
 
    %jmp T_2.4;
 
T_2.0 ;
T_2.0 ;
    %ix/load 1, 7, 0;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
 
    %jmp/1 T_2.3, 4;
 
    %load/x1p 8, v0xa5e690_0, 1;
 
    %jmp T_2.4;
 
T_2.3 ;
 
    %mov 8, 2, 1;
 
T_2.4 ;
 
; Save base=8 wid=1 in lookaside.
 
    %ix/load 1, 7, 0;
 
    %mov 4, 0, 1;
    %jmp/1 T_2.5, 4;
    %jmp/1 T_2.5, 4;
    %load/x1p 8, v0x12f7ae0_0, 1;
    %load/x1p 9, v0xa5e780_0, 1;
    %jmp T_2.6;
    %jmp T_2.6;
T_2.5 ;
T_2.5 ;
    %mov 8, 2, 1;
    %mov 9, 2, 1;
T_2.6 ;
T_2.6 ;
; Save base=8 wid=1 in lookaside.
; Save base=9 wid=1 in lookaside.
 
    %and 8, 9, 1;
    %ix/load 1, 7, 0;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_2.7, 4;
    %jmp/1 T_2.7, 4;
    %load/x1p 9, v0x12f7be0_0, 1;
    %load/x1p 9, v0xa5eea0_0, 1;
    %jmp T_2.8;
    %jmp T_2.8;
T_2.7 ;
T_2.7 ;
    %mov 9, 2, 1;
    %mov 9, 2, 1;
T_2.8 ;
T_2.8 ;
; Save base=9 wid=1 in lookaside.
; Save base=9 wid=1 in lookaside.
 
    %inv 9, 1;
    %and 8, 9, 1;
    %and 8, 9, 1;
    %ix/load 1, 7, 0;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_2.9, 4;
    %jmp/1 T_2.9, 4;
    %load/x1p 9, v0x12f81c0_0, 1;
    %load/x1p 9, v0xa5e690_0, 1;
    %jmp T_2.10;
    %jmp T_2.10;
T_2.9 ;
T_2.9 ;
    %mov 9, 2, 1;
    %mov 9, 2, 1;
T_2.10 ;
T_2.10 ;
; Save base=9 wid=1 in lookaside.
; Save base=9 wid=1 in lookaside.
    %inv 9, 1;
    %inv 9, 1;
    %and 8, 9, 1;
 
    %ix/load 1, 7, 0;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_2.11, 4;
    %jmp/1 T_2.11, 4;
    %load/x1p 9, v0x12f7ae0_0, 1;
    %load/x1p 10, v0xa5e780_0, 1;
    %jmp T_2.12;
    %jmp T_2.12;
T_2.11 ;
T_2.11 ;
    %mov 9, 2, 1;
    %mov 10, 2, 1;
T_2.12 ;
T_2.12 ;
; Save base=9 wid=1 in lookaside.
; Save base=10 wid=1 in lookaside.
    %inv 9, 1;
    %inv 10, 1;
 
    %and 9, 10, 1;
    %ix/load 1, 7, 0;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_2.13, 4;
    %jmp/1 T_2.13, 4;
    %load/x1p 10, v0x12f7be0_0, 1;
    %load/x1p 10, v0xa5eea0_0, 1;
    %jmp T_2.14;
    %jmp T_2.14;
T_2.13 ;
T_2.13 ;
    %mov 10, 2, 1;
    %mov 10, 2, 1;
T_2.14 ;
T_2.14 ;
; Save base=10 wid=1 in lookaside.
; Save base=10 wid=1 in lookaside.
    %inv 10, 1;
 
    %and 9, 10, 1;
    %and 9, 10, 1;
 
    %or 8, 9, 1;
 
    %set/v v0xa5ede0_0, 8, 1;
 
    %jmp T_2.2;
 
T_2.1 ;
    %ix/load 1, 7, 0;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_2.15, 4;
    %jmp/1 T_2.15, 4;
    %load/x1p 10, v0x12f81c0_0, 1;
    %load/x1p 8, v0xa5e690_0, 1;
    %jmp T_2.16;
    %jmp T_2.16;
T_2.15 ;
T_2.15 ;
    %mov 10, 2, 1;
    %mov 8, 2, 1;
T_2.16 ;
T_2.16 ;
; Save base=10 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %and 9, 10, 1;
 
    %or 8, 9, 1;
 
    %set/v v0x12f8100_0, 8, 1;
 
    %jmp T_2.4;
 
T_2.1 ;
 
    %ix/load 1, 7, 0;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_2.17, 4;
    %jmp/1 T_2.17, 4;
    %load/x1p 8, v0x12f7ae0_0, 1;
    %load/x1p 9, v0xa5e780_0, 1;
    %jmp T_2.18;
    %jmp T_2.18;
T_2.17 ;
T_2.17 ;
    %mov 8, 2, 1;
    %mov 9, 2, 1;
T_2.18 ;
T_2.18 ;
; Save base=8 wid=1 in lookaside.
; Save base=9 wid=1 in lookaside.
 
    %inv 9, 1;
 
    %and 8, 9, 1;
    %ix/load 1, 7, 0;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_2.19, 4;
    %jmp/1 T_2.19, 4;
    %load/x1p 9, v0x12f7be0_0, 1;
    %load/x1p 9, v0xa5eea0_0, 1;
    %jmp T_2.20;
    %jmp T_2.20;
T_2.19 ;
T_2.19 ;
    %mov 9, 2, 1;
    %mov 9, 2, 1;
T_2.20 ;
T_2.20 ;
; Save base=9 wid=1 in lookaside.
; Save base=9 wid=1 in lookaside.
 
    %inv 9, 1;
    %and 8, 9, 1;
    %and 8, 9, 1;
    %ix/load 1, 7, 0;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_2.21, 4;
    %jmp/1 T_2.21, 4;
    %load/x1p 9, v0x12f81c0_0, 1;
    %load/x1p 9, v0xa5e690_0, 1;
    %jmp T_2.22;
    %jmp T_2.22;
T_2.21 ;
T_2.21 ;
    %mov 9, 2, 1;
    %mov 9, 2, 1;
T_2.22 ;
T_2.22 ;
; Save base=9 wid=1 in lookaside.
; Save base=9 wid=1 in lookaside.
    %inv 9, 1;
    %inv 9, 1;
    %and 8, 9, 1;
 
    %ix/load 1, 7, 0;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_2.23, 4;
    %jmp/1 T_2.23, 4;
    %load/x1p 9, v0x12f7ae0_0, 1;
    %load/x1p 10, v0xa5e780_0, 1;
    %jmp T_2.24;
    %jmp T_2.24;
T_2.23 ;
T_2.23 ;
    %mov 9, 2, 1;
    %mov 10, 2, 1;
T_2.24 ;
T_2.24 ;
; Save base=9 wid=1 in lookaside.
; Save base=10 wid=1 in lookaside.
    %inv 9, 1;
    %and 9, 10, 1;
    %ix/load 1, 7, 0;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_2.25, 4;
    %jmp/1 T_2.25, 4;
    %load/x1p 10, v0x12f7be0_0, 1;
    %load/x1p 10, v0xa5eea0_0, 1;
    %jmp T_2.26;
    %jmp T_2.26;
T_2.25 ;
T_2.25 ;
    %mov 10, 2, 1;
    %mov 10, 2, 1;
T_2.26 ;
T_2.26 ;
; Save base=10 wid=1 in lookaside.
; Save base=10 wid=1 in lookaside.
    %inv 10, 1;
 
    %and 9, 10, 1;
 
    %ix/load 1, 7, 0;
 
    %mov 4, 0, 1;
 
    %jmp/1 T_2.27, 4;
 
    %load/x1p 10, v0x12f81c0_0, 1;
 
    %jmp T_2.28;
 
T_2.27 ;
 
    %mov 10, 2, 1;
 
T_2.28 ;
 
; Save base=10 wid=1 in lookaside.
 
    %and 9, 10, 1;
    %and 9, 10, 1;
    %or 8, 9, 1;
    %or 8, 9, 1;
    %set/v v0x12f8100_0, 8, 1;
    %set/v v0xa5ede0_0, 8, 1;
    %jmp T_2.4;
    %jmp T_2.2;
T_2.2 ;
T_2.2 ;
    %ix/load 1, 7, 0;
 
    %mov 4, 0, 1;
 
    %jmp/1 T_2.29, 4;
 
    %load/x1p 8, v0x12f7ae0_0, 1;
 
    %jmp T_2.30;
 
T_2.29 ;
 
    %mov 8, 2, 1;
 
T_2.30 ;
 
; Save base=8 wid=1 in lookaside.
 
    %ix/load 1, 7, 0;
 
    %mov 4, 0, 1;
 
    %jmp/1 T_2.31, 4;
 
    %load/x1p 9, v0x12f7be0_0, 1;
 
    %jmp T_2.32;
 
T_2.31 ;
 
    %mov 9, 2, 1;
 
T_2.32 ;
 
; Save base=9 wid=1 in lookaside.
 
    %inv 9, 1;
 
    %and 8, 9, 1;
 
    %ix/load 1, 7, 0;
 
    %mov 4, 0, 1;
 
    %jmp/1 T_2.33, 4;
 
    %load/x1p 9, v0x12f81c0_0, 1;
 
    %jmp T_2.34;
 
T_2.33 ;
 
    %mov 9, 2, 1;
 
T_2.34 ;
 
; Save base=9 wid=1 in lookaside.
 
    %inv 9, 1;
 
    %and 8, 9, 1;
 
    %ix/load 1, 7, 0;
 
    %mov 4, 0, 1;
 
    %jmp/1 T_2.35, 4;
 
    %load/x1p 9, v0x12f7ae0_0, 1;
 
    %jmp T_2.36;
 
T_2.35 ;
 
    %mov 9, 2, 1;
 
T_2.36 ;
 
; Save base=9 wid=1 in lookaside.
 
    %inv 9, 1;
 
    %ix/load 1, 7, 0;
 
    %mov 4, 0, 1;
 
    %jmp/1 T_2.37, 4;
 
    %load/x1p 10, v0x12f7be0_0, 1;
 
    %jmp T_2.38;
 
T_2.37 ;
 
    %mov 10, 2, 1;
 
T_2.38 ;
 
; Save base=10 wid=1 in lookaside.
 
    %and 9, 10, 1;
 
    %ix/load 1, 7, 0;
 
    %mov 4, 0, 1;
 
    %jmp/1 T_2.39, 4;
 
    %load/x1p 10, v0x12f81c0_0, 1;
 
    %jmp T_2.40;
 
T_2.39 ;
 
    %mov 10, 2, 1;
 
T_2.40 ;
 
; Save base=10 wid=1 in lookaside.
 
    %and 9, 10, 1;
 
    %or 8, 9, 1;
 
    %set/v v0x12f8100_0, 8, 1;
 
    %jmp T_2.4;
 
T_2.3 ;
 
    %ix/load 1, 7, 0;
 
    %mov 4, 0, 1;
 
    %jmp/1 T_2.41, 4;
 
    %load/x1p 8, v0x12f7ae0_0, 1;
 
    %jmp T_2.42;
 
T_2.41 ;
 
    %mov 8, 2, 1;
 
T_2.42 ;
 
; Save base=8 wid=1 in lookaside.
 
    %ix/load 1, 7, 0;
 
    %mov 4, 0, 1;
 
    %jmp/1 T_2.43, 4;
 
    %load/x1p 9, v0x12f7be0_0, 1;
 
    %jmp T_2.44;
 
T_2.43 ;
 
    %mov 9, 2, 1;
 
T_2.44 ;
 
; Save base=9 wid=1 in lookaside.
 
    %inv 9, 1;
 
    %and 8, 9, 1;
 
    %ix/load 1, 7, 0;
 
    %mov 4, 0, 1;
 
    %jmp/1 T_2.45, 4;
 
    %load/x1p 9, v0x12f81c0_0, 1;
 
    %jmp T_2.46;
 
T_2.45 ;
 
    %mov 9, 2, 1;
 
T_2.46 ;
 
; Save base=9 wid=1 in lookaside.
 
    %inv 9, 1;
 
    %and 8, 9, 1;
 
    %ix/load 1, 7, 0;
 
    %mov 4, 0, 1;
 
    %jmp/1 T_2.47, 4;
 
    %load/x1p 9, v0x12f7ae0_0, 1;
 
    %jmp T_2.48;
 
T_2.47 ;
 
    %mov 9, 2, 1;
 
T_2.48 ;
 
; Save base=9 wid=1 in lookaside.
 
    %inv 9, 1;
 
    %ix/load 1, 7, 0;
 
    %mov 4, 0, 1;
 
    %jmp/1 T_2.49, 4;
 
    %load/x1p 10, v0x12f7be0_0, 1;
 
    %jmp T_2.50;
 
T_2.49 ;
 
    %mov 10, 2, 1;
 
T_2.50 ;
 
; Save base=10 wid=1 in lookaside.
 
    %and 9, 10, 1;
 
    %ix/load 1, 7, 0;
 
    %mov 4, 0, 1;
 
    %jmp/1 T_2.51, 4;
 
    %load/x1p 10, v0x12f81c0_0, 1;
 
    %jmp T_2.52;
 
T_2.51 ;
 
    %mov 10, 2, 1;
 
T_2.52 ;
 
; Save base=10 wid=1 in lookaside.
 
    %and 9, 10, 1;
 
    %or 8, 9, 1;
 
    %set/v v0x12f8100_0, 8, 1;
 
    %jmp T_2.4;
 
T_2.4 ;
 
    %jmp T_2;
    %jmp T_2;
    .thread T_2, $push;
    .thread T_2, $push;
    .scope S_0x12f76b0;
    .scope S_0xa5e080;
T_3 ;
T_3 ;
    %wait E_0x12f7970;
    %wait E_0xa5e340;
    %load/v 8, v0x12f8020_0, 2;
    %load/v 8, v0xa5ed00_0, 1; Only need 1 of 2 bits
    %cmpi/u 8, 0, 2;
; Save base=8 wid=1 in lookaside.
 
    %cmpi/u 8, 0, 1;
    %jmp/1 T_3.0, 6;
    %jmp/1 T_3.0, 6;
    %cmpi/u 8, 2, 2;
    %cmpi/u 8, 1, 1;
    %jmp/1 T_3.1, 6;
    %jmp/1 T_3.1, 6;
    %cmpi/u 8, 1, 2;
    %jmp T_3.2;
    %jmp/1 T_3.2, 6;
 
    %cmpi/u 8, 3, 2;
 
    %jmp/1 T_3.3, 6;
 
    %jmp T_3.4;
 
T_3.0 ;
T_3.0 ;
    %ix/load 1, 3, 0;
    %ix/load 1, 3, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
 
    %jmp/1 T_3.3, 4;
 
    %load/x1p 8, v0xa5e690_0, 1;
 
    %jmp T_3.4;
 
T_3.3 ;
 
    %mov 8, 2, 1;
 
T_3.4 ;
 
; Save base=8 wid=1 in lookaside.
 
    %ix/load 1, 3, 0;
 
    %mov 4, 0, 1;
    %jmp/1 T_3.5, 4;
    %jmp/1 T_3.5, 4;
    %load/x1p 8, v0x12f7ae0_0, 1;
    %load/x1p 9, v0xa5e780_0, 1;
    %jmp T_3.6;
    %jmp T_3.6;
T_3.5 ;
T_3.5 ;
    %mov 8, 2, 1;
    %mov 9, 2, 1;
T_3.6 ;
T_3.6 ;
; Save base=8 wid=1 in lookaside.
; Save base=9 wid=1 in lookaside.
 
    %and 8, 9, 1;
    %ix/load 1, 3, 0;
    %ix/load 1, 3, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_3.7, 4;
    %jmp/1 T_3.7, 4;
    %load/x1p 9, v0x12f7be0_0, 1;
    %load/x1p 9, v0xa5eea0_0, 1;
    %jmp T_3.8;
    %jmp T_3.8;
T_3.7 ;
T_3.7 ;
    %mov 9, 2, 1;
    %mov 9, 2, 1;
T_3.8 ;
T_3.8 ;
; Save base=9 wid=1 in lookaside.
; Save base=9 wid=1 in lookaside.
 
    %inv 9, 1;
    %and 8, 9, 1;
    %and 8, 9, 1;
    %ix/load 1, 3, 0;
    %ix/load 1, 3, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_3.9, 4;
    %jmp/1 T_3.9, 4;
    %load/x1p 9, v0x12f81c0_0, 1;
    %load/x1p 9, v0xa5e690_0, 1;
    %jmp T_3.10;
    %jmp T_3.10;
T_3.9 ;
T_3.9 ;
    %mov 9, 2, 1;
    %mov 9, 2, 1;
T_3.10 ;
T_3.10 ;
; Save base=9 wid=1 in lookaside.
; Save base=9 wid=1 in lookaside.
    %inv 9, 1;
    %inv 9, 1;
    %and 8, 9, 1;
 
    %ix/load 1, 3, 0;
    %ix/load 1, 3, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_3.11, 4;
    %jmp/1 T_3.11, 4;
    %load/x1p 9, v0x12f7ae0_0, 1;
    %load/x1p 10, v0xa5e780_0, 1;
    %jmp T_3.12;
    %jmp T_3.12;
T_3.11 ;
T_3.11 ;
    %mov 9, 2, 1;
    %mov 10, 2, 1;
T_3.12 ;
T_3.12 ;
; Save base=9 wid=1 in lookaside.
; Save base=10 wid=1 in lookaside.
    %inv 9, 1;
    %inv 10, 1;
 
    %and 9, 10, 1;
    %ix/load 1, 3, 0;
    %ix/load 1, 3, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_3.13, 4;
    %jmp/1 T_3.13, 4;
    %load/x1p 10, v0x12f7be0_0, 1;
    %load/x1p 10, v0xa5eea0_0, 1;
    %jmp T_3.14;
    %jmp T_3.14;
T_3.13 ;
T_3.13 ;
    %mov 10, 2, 1;
    %mov 10, 2, 1;
T_3.14 ;
T_3.14 ;
; Save base=10 wid=1 in lookaside.
; Save base=10 wid=1 in lookaside.
    %inv 10, 1;
 
    %and 9, 10, 1;
 
    %ix/load 1, 3, 0;
 
    %mov 4, 0, 1;
 
    %jmp/1 T_3.15, 4;
 
    %load/x1p 10, v0x12f81c0_0, 1;
 
    %jmp T_3.16;
 
T_3.15 ;
 
    %mov 10, 2, 1;
 
T_3.16 ;
 
; Save base=10 wid=1 in lookaside.
 
    %and 9, 10, 1;
    %and 9, 10, 1;
    %or 8, 9, 1;
    %or 8, 9, 1;
    %set/v v0x12f7f60_0, 8, 1;
    %set/v v0xa5ebb0_0, 8, 1;
    %jmp T_3.4;
    %jmp T_3.2;
T_3.1 ;
T_3.1 ;
    %ix/load 1, 3, 0;
    %load/v 8, v0xa5eaf0_0, 1;
    %mov 4, 0, 1;
    %set/v v0xa5ebb0_0, 8, 1;
    %jmp/1 T_3.17, 4;
    %jmp T_3.2;
    %load/x1p 8, v0x12f7ae0_0, 1;
 
    %jmp T_3.18;
 
T_3.17 ;
 
    %mov 8, 2, 1;
 
T_3.18 ;
 
; Save base=8 wid=1 in lookaside.
 
    %ix/load 1, 3, 0;
 
    %mov 4, 0, 1;
 
    %jmp/1 T_3.19, 4;
 
    %load/x1p 9, v0x12f7be0_0, 1;
 
    %jmp T_3.20;
 
T_3.19 ;
 
    %mov 9, 2, 1;
 
T_3.20 ;
 
; Save base=9 wid=1 in lookaside.
 
    %and 8, 9, 1;
 
    %ix/load 1, 3, 0;
 
    %mov 4, 0, 1;
 
    %jmp/1 T_3.21, 4;
 
    %load/x1p 9, v0x12f81c0_0, 1;
 
    %jmp T_3.22;
 
T_3.21 ;
 
    %mov 9, 2, 1;
 
T_3.22 ;
 
; Save base=9 wid=1 in lookaside.
 
    %inv 9, 1;
 
    %and 8, 9, 1;
 
    %ix/load 1, 3, 0;
 
    %mov 4, 0, 1;
 
    %jmp/1 T_3.23, 4;
 
    %load/x1p 9, v0x12f7ae0_0, 1;
 
    %jmp T_3.24;
 
T_3.23 ;
 
    %mov 9, 2, 1;
 
T_3.24 ;
 
; Save base=9 wid=1 in lookaside.
 
    %inv 9, 1;
 
    %ix/load 1, 3, 0;
 
    %mov 4, 0, 1;
 
    %jmp/1 T_3.25, 4;
 
    %load/x1p 10, v0x12f7be0_0, 1;
 
    %jmp T_3.26;
 
T_3.25 ;
 
    %mov 10, 2, 1;
 
T_3.26 ;
 
; Save base=10 wid=1 in lookaside.
 
    %inv 10, 1;
 
    %and 9, 10, 1;
 
    %ix/load 1, 3, 0;
 
    %mov 4, 0, 1;
 
    %jmp/1 T_3.27, 4;
 
    %load/x1p 10, v0x12f81c0_0, 1;
 
    %jmp T_3.28;
 
T_3.27 ;
 
    %mov 10, 2, 1;
 
T_3.28 ;
 
; Save base=10 wid=1 in lookaside.
 
    %and 9, 10, 1;
 
    %or 8, 9, 1;
 
    %set/v v0x12f7f60_0, 8, 1;
 
    %jmp T_3.4;
 
T_3.2 ;
T_3.2 ;
    %load/v 8, v0x12f7e50_0, 1;
 
    %set/v v0x12f7f60_0, 8, 1;
 
    %jmp T_3.4;
 
T_3.3 ;
 
    %load/v 8, v0x12f7e50_0, 1;
 
    %set/v v0x12f7f60_0, 8, 1;
 
    %jmp T_3.4;
 
T_3.4 ;
 
    %jmp T_3;
    %jmp T_3;
    .thread T_3, $push;
    .thread T_3, $push;
    .scope S_0x12f8b40;
    .scope S_0xa5f790;
T_4 ;
T_4 ;
    %wait E_0x12f8e30;
    %wait E_0xa5fa80;
    %ix/load 1, 1, 0;
    %ix/load 1, 1, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_4.0, 4;
    %jmp/1 T_4.0, 4;
    %load/x1p 16, v0x12f9160_0, 7;
    %load/x1p 16, v0xa5fdb0_0, 7;
    %jmp T_4.1;
    %jmp T_4.1;
T_4.0 ;
T_4.0 ;
    %mov 16, 2, 7;
    %mov 16, 2, 7;
T_4.1 ;
T_4.1 ;
    %mov 8, 16, 7; Move signal select into place
    %mov 8, 16, 7; Move signal select into place
    %ix/load 1, 7, 0;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_4.2, 4;
    %jmp/1 T_4.2, 4;
    %load/x1p 16, v0x12f9160_0, 1;
    %load/x1p 16, v0xa5fdb0_0, 1;
    %jmp T_4.3;
    %jmp T_4.3;
T_4.2 ;
T_4.2 ;
    %mov 16, 2, 1;
    %mov 16, 2, 1;
T_4.3 ;
T_4.3 ;
    %mov 15, 16, 1; Move signal select into place
    %mov 15, 16, 1; Move signal select into place
    %set/v v0x12f9800_0, 8, 8;
    %set/v v0xa60450_0, 8, 8;
    %load/v 8, v0x12f9510_0, 3;
    %load/v 8, v0xa60160_0, 3;
    %cmpi/u 8, 0, 3;
    %cmpi/u 8, 0, 3;
    %jmp/1 T_4.4, 6;
    %jmp/1 T_4.4, 6;
    %cmpi/u 8, 1, 3;
    %cmpi/u 8, 1, 3;
    %jmp/1 T_4.5, 6;
    %jmp/1 T_4.5, 6;
    %cmpi/u 8, 2, 3;
    %cmpi/u 8, 2, 3;
Line 1413... Line 1200...
    %jmp T_4.9;
    %jmp T_4.9;
T_4.4 ;
T_4.4 ;
    %ix/load 1, 1, 0;
    %ix/load 1, 1, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_4.10, 4;
    %jmp/1 T_4.10, 4;
    %load/x1p 16, v0x12f9160_0, 7;
    %load/x1p 16, v0xa5fdb0_0, 7;
    %jmp T_4.11;
    %jmp T_4.11;
T_4.10 ;
T_4.10 ;
    %mov 16, 2, 7;
    %mov 16, 2, 7;
T_4.11 ;
T_4.11 ;
    %mov 8, 16, 7; Move signal select into place
    %mov 8, 16, 7; Move signal select into place
    %mov 15, 0, 1;
    %mov 15, 0, 1;
    %set/v v0x12f9800_0, 8, 8;
    %set/v v0xa60450_0, 8, 8;
    %jmp T_4.9;
    %jmp T_4.9;
T_4.5 ;
T_4.5 ;
    %mov 8, 0, 1;
    %mov 8, 0, 1;
    %load/v 9, v0x12f9160_0, 7; Select 7 out of 8 bits
    %load/v 9, v0xa5fdb0_0, 7; Select 7 out of 8 bits
    %set/v v0x12f9800_0, 8, 8;
    %set/v v0xa60450_0, 8, 8;
    %jmp T_4.9;
    %jmp T_4.9;
T_4.6 ;
T_4.6 ;
    %ix/load 1, 1, 0;
    %ix/load 1, 1, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_4.12, 4;
    %jmp/1 T_4.12, 4;
    %load/x1p 16, v0x12f9160_0, 7;
    %load/x1p 16, v0xa5fdb0_0, 7;
    %jmp T_4.13;
    %jmp T_4.13;
T_4.12 ;
T_4.12 ;
    %mov 16, 2, 7;
    %mov 16, 2, 7;
T_4.13 ;
T_4.13 ;
    %mov 8, 16, 7; Move signal select into place
    %mov 8, 16, 7; Move signal select into place
    %load/v 15, v0x12f93d0_0, 1;
    %load/v 15, v0xa60020_0, 1;
    %set/v v0x12f9800_0, 8, 8;
    %set/v v0xa60450_0, 8, 8;
    %jmp T_4.9;
    %jmp T_4.9;
T_4.7 ;
T_4.7 ;
    %load/v 8, v0x12f93d0_0, 1;
    %load/v 8, v0xa60020_0, 1;
    %load/v 9, v0x12f9160_0, 7; Select 7 out of 8 bits
    %load/v 9, v0xa5fdb0_0, 7; Select 7 out of 8 bits
    %set/v v0x12f9800_0, 8, 8;
    %set/v v0xa60450_0, 8, 8;
    %jmp T_4.9;
    %jmp T_4.9;
T_4.8 ;
T_4.8 ;
    %ix/load 1, 1, 0;
    %ix/load 1, 1, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_4.14, 4;
    %jmp/1 T_4.14, 4;
    %load/x1p 16, v0x12f9160_0, 7;
    %load/x1p 16, v0xa5fdb0_0, 7;
    %jmp T_4.15;
    %jmp T_4.15;
T_4.14 ;
T_4.14 ;
    %mov 16, 2, 7;
    %mov 16, 2, 7;
T_4.15 ;
T_4.15 ;
    %mov 8, 16, 7; Move signal select into place
    %mov 8, 16, 7; Move signal select into place
    %ix/load 1, 7, 0;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_4.16, 4;
    %jmp/1 T_4.16, 4;
    %load/x1p 16, v0x12f9160_0, 1;
    %load/x1p 16, v0xa5fdb0_0, 1;
    %jmp T_4.17;
    %jmp T_4.17;
T_4.16 ;
T_4.16 ;
    %mov 16, 2, 1;
    %mov 16, 2, 1;
T_4.17 ;
T_4.17 ;
    %mov 15, 16, 1; Move signal select into place
    %mov 15, 16, 1; Move signal select into place
    %set/v v0x12f9800_0, 8, 8;
    %set/v v0xa60450_0, 8, 8;
    %jmp T_4.9;
    %jmp T_4.9;
T_4.9 ;
T_4.9 ;
    %jmp T_4;
    %jmp T_4;
    .thread T_4, $push;
    .thread T_4, $push;
    .scope S_0x12f8b40;
    .scope S_0xa5f790;
T_5 ;
T_5 ;
    %wait E_0x12f8dd0;
    %wait E_0xa5fa20;
    %load/v 8, v0x12f95f0_0, 1;
    %load/v 8, v0xa60240_0, 1;
    %set/v v0x12f9740_0, 8, 1;
    %set/v v0xa60390_0, 8, 1;
    %load/v 8, v0x12f9510_0, 3;
    %load/v 8, v0xa60160_0, 3;
    %cmpi/u 8, 0, 3;
    %cmpi/u 8, 0, 3;
    %jmp/1 T_5.0, 6;
    %jmp/1 T_5.0, 6;
    %cmpi/u 8, 1, 3;
    %cmpi/u 8, 1, 3;
    %jmp/1 T_5.1, 6;
    %jmp/1 T_5.1, 6;
    %cmpi/u 8, 2, 3;
    %cmpi/u 8, 2, 3;
Line 1487... Line 1274...
    %jmp/1 T_5.3, 6;
    %jmp/1 T_5.3, 6;
    %cmpi/u 8, 4, 3;
    %cmpi/u 8, 4, 3;
    %jmp/1 T_5.4, 6;
    %jmp/1 T_5.4, 6;
    %jmp T_5.5;
    %jmp T_5.5;
T_5.0 ;
T_5.0 ;
    %load/v 8, v0x12f95f0_0, 1;
    %load/v 8, v0xa60240_0, 1;
    %set/v v0x12f9740_0, 8, 1;
    %set/v v0xa60390_0, 8, 1;
    %jmp T_5.5;
    %jmp T_5.5;
T_5.1 ;
T_5.1 ;
    %ix/load 1, 7, 0;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_5.6, 4;
    %jmp/1 T_5.6, 4;
    %load/x1p 8, v0x12f9160_0, 1;
    %load/x1p 8, v0xa5fdb0_0, 1;
    %jmp T_5.7;
    %jmp T_5.7;
T_5.6 ;
T_5.6 ;
    %mov 8, 2, 1;
    %mov 8, 2, 1;
T_5.7 ;
T_5.7 ;
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %ix/load 1, 6, 0;
    %ix/load 1, 6, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_5.8, 4;
    %jmp/1 T_5.8, 4;
    %load/x1p 9, v0x12f9160_0, 1;
    %load/x1p 9, v0xa5fdb0_0, 1;
    %jmp T_5.9;
    %jmp T_5.9;
T_5.8 ;
T_5.8 ;
    %mov 9, 2, 1;
    %mov 9, 2, 1;
T_5.9 ;
T_5.9 ;
; Save base=9 wid=1 in lookaside.
; Save base=9 wid=1 in lookaside.
    %xor 8, 9, 1;
    %xor 8, 9, 1;
    %set/v v0x12f9740_0, 8, 1;
    %set/v v0xa60390_0, 8, 1;
    %jmp T_5.5;
    %jmp T_5.5;
T_5.2 ;
T_5.2 ;
    %load/v 8, v0x12f95f0_0, 1;
    %load/v 8, v0xa60240_0, 1;
    %set/v v0x12f9740_0, 8, 1;
    %set/v v0xa60390_0, 8, 1;
    %jmp T_5.5;
    %jmp T_5.5;
T_5.3 ;
T_5.3 ;
    %ix/load 1, 7, 0;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_5.10, 4;
    %jmp/1 T_5.10, 4;
    %load/x1p 8, v0x12f9160_0, 1;
    %load/x1p 8, v0xa5fdb0_0, 1;
    %jmp T_5.11;
    %jmp T_5.11;
T_5.10 ;
T_5.10 ;
    %mov 8, 2, 1;
    %mov 8, 2, 1;
T_5.11 ;
T_5.11 ;
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %ix/load 1, 6, 0;
    %ix/load 1, 6, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_5.12, 4;
    %jmp/1 T_5.12, 4;
    %load/x1p 9, v0x12f9160_0, 1;
    %load/x1p 9, v0xa5fdb0_0, 1;
    %jmp T_5.13;
    %jmp T_5.13;
T_5.12 ;
T_5.12 ;
    %mov 9, 2, 1;
    %mov 9, 2, 1;
T_5.13 ;
T_5.13 ;
; Save base=9 wid=1 in lookaside.
; Save base=9 wid=1 in lookaside.
    %xor 8, 9, 1;
    %xor 8, 9, 1;
    %set/v v0x12f9740_0, 8, 1;
    %set/v v0xa60390_0, 8, 1;
    %jmp T_5.5;
    %jmp T_5.5;
T_5.4 ;
T_5.4 ;
    %load/v 8, v0x12f95f0_0, 1;
    %load/v 8, v0xa60240_0, 1;
    %set/v v0x12f9740_0, 8, 1;
    %set/v v0xa60390_0, 8, 1;
    %jmp T_5.5;
    %jmp T_5.5;
T_5.5 ;
T_5.5 ;
    %jmp T_5;
    %jmp T_5;
    .thread T_5, $push;
    .thread T_5, $push;
    .scope S_0x12f72b0;
    .scope S_0xa5dc80;
T_6 ;
T_6 ;
    %wait E_0x12f75c0;
    %wait E_0xa5df90;
    %set/v v0x12fea40_0, 0, 8;
    %set/v v0xa65690_0, 0, 8;
    %load/v 8, v0x12fdcd0_0, 1;
    %load/v 8, v0xa64920_0, 1;
    %set/v v0x12fdc30_0, 8, 1;
    %set/v v0xa64880_0, 8, 1;
    %load/v 8, v0x12fe5b0_0, 1;
    %load/v 8, v0xa65200_0, 1;
    %set/v v0x12fe4f0_0, 8, 1;
    %set/v v0xa65140_0, 8, 1;
    %load/v 8, v0x12fefd0_0, 1;
    %load/v 8, v0xa65c20_0, 1;
    %set/v v0x12fef30_0, 8, 1;
    %set/v v0xa65b80_0, 8, 1;
    %load/v 8, v0x12fe950_0, 5;
    %load/v 8, v0xa655a0_0, 5;
    %cmpi/u 8, 8, 5;
    %cmpi/u 8, 8, 5;
    %jmp/1 T_6.0, 6;
    %jmp/1 T_6.0, 6;
    %cmpi/u 8, 10, 5;
    %cmpi/u 8, 10, 5;
    %jmp/1 T_6.1, 6;
    %jmp/1 T_6.1, 6;
    %cmpi/u 8, 9, 5;
    %cmpi/u 8, 9, 5;
Line 1592... Line 1379...
    %jmp/1 T_6.15, 6;
    %jmp/1 T_6.15, 6;
    %cmpi/u 8, 2, 5;
    %cmpi/u 8, 2, 5;
    %jmp/1 T_6.16, 6;
    %jmp/1 T_6.16, 6;
    %jmp T_6.17;
    %jmp T_6.17;
T_6.0 ;
T_6.0 ;
    %load/v 8, v0x12fd9f0_0, 8;
    %load/v 8, v0xa64640_0, 8;
    %set/v v0x12fea40_0, 8, 8;
    %set/v v0xa65690_0, 8, 8;
    %load/v 8, v0x12fd880_0, 1;
    %load/v 8, v0xa644d0_0, 1;
    %set/v v0x12fdc30_0, 8, 1;
    %set/v v0xa64880_0, 8, 1;
    %load/v 8, v0x12fdac0_0, 1;
    %load/v 8, v0xa64710_0, 1;
    %set/v v0x12fef30_0, 8, 1;
    %set/v v0xa65b80_0, 8, 1;
    %load/v 8, v0x12fd920_0, 1;
    %load/v 8, v0xa64570_0, 1;
    %set/v v0x12fe4f0_0, 8, 1;
    %set/v v0xa65140_0, 8, 1;
    %jmp T_6.17;
    %jmp T_6.17;
T_6.1 ;
T_6.1 ;
    %load/v 8, v0x12fd9f0_0, 8;
    %load/v 8, v0xa64640_0, 8;
    %set/v v0x12fea40_0, 8, 8;
    %set/v v0xa65690_0, 8, 8;
    %load/v 8, v0x12fd880_0, 1;
    %load/v 8, v0xa644d0_0, 1;
    %set/v v0x12fdc30_0, 8, 1;
    %set/v v0xa64880_0, 8, 1;
    %load/v 8, v0x12fdac0_0, 1;
    %load/v 8, v0xa64710_0, 1;
    %set/v v0x12fef30_0, 8, 1;
    %set/v v0xa65b80_0, 8, 1;
    %load/v 8, v0x12fd920_0, 1;
    %load/v 8, v0xa64570_0, 1;
    %set/v v0x12fe4f0_0, 8, 1;
    %set/v v0xa65140_0, 8, 1;
    %jmp T_6.17;
    %jmp T_6.17;
T_6.2 ;
T_6.2 ;
    %load/v 8, v0x12fd9f0_0, 8;
    %load/v 8, v0xa64640_0, 8;
    %set/v v0x12fea40_0, 8, 8;
    %set/v v0xa65690_0, 8, 8;
    %load/v 8, v0x12fd880_0, 1;
    %load/v 8, v0xa644d0_0, 1;
    %set/v v0x12fdc30_0, 8, 1;
    %set/v v0xa64880_0, 8, 1;
    %load/v 8, v0x12fdac0_0, 1;
    %load/v 8, v0xa64710_0, 1;
    %set/v v0x12fef30_0, 8, 1;
    %set/v v0xa65b80_0, 8, 1;
    %load/v 8, v0x12fd920_0, 1;
    %load/v 8, v0xa64570_0, 1;
    %set/v v0x12fe4f0_0, 8, 1;
    %set/v v0xa65140_0, 8, 1;
    %jmp T_6.17;
    %jmp T_6.17;
T_6.3 ;
T_6.3 ;
    %load/v 8, v0x12fd9f0_0, 8;
    %load/v 8, v0xa64640_0, 8;
    %set/v v0x12fea40_0, 8, 8;
    %set/v v0xa65690_0, 8, 8;
    %load/v 8, v0x12fd880_0, 1;
    %load/v 8, v0xa644d0_0, 1;
    %set/v v0x12fdc30_0, 8, 1;
    %set/v v0xa64880_0, 8, 1;
    %load/v 8, v0x12fdac0_0, 1;
    %load/v 8, v0xa64710_0, 1;
    %set/v v0x12fef30_0, 8, 1;
    %set/v v0xa65b80_0, 8, 1;
    %load/v 8, v0x12fd920_0, 1;
    %load/v 8, v0xa64570_0, 1;
    %set/v v0x12fe4f0_0, 8, 1;
    %set/v v0xa65140_0, 8, 1;
    %jmp T_6.17;
    %jmp T_6.17;
T_6.4 ;
T_6.4 ;
    %load/v 8, v0x12fe0b0_0, 8;
    %load/v 8, v0xa64d00_0, 8;
    %set/v v0x12fea40_0, 8, 8;
    %set/v v0xa65690_0, 8, 8;
    %load/v 8, v0x12fe0b0_0, 8;
    %load/v 8, v0xa64d00_0, 8;
    %set/v v0x12fdc30_0, 8, 1;
    %set/v v0xa64880_0, 8, 1;
    %load/v 8, v0x12ff0a0_0, 1;
    %load/v 8, v0xa65cf0_0, 1;
    %set/v v0x12fef30_0, 8, 1;
    %set/v v0xa65b80_0, 8, 1;
    %jmp T_6.17;
    %jmp T_6.17;
T_6.5 ;
T_6.5 ;
    %load/v 8, v0x12fe790_0, 8;
    %load/v 8, v0xa653e0_0, 8;
    %set/v v0x12fea40_0, 8, 8;
    %set/v v0xa65690_0, 8, 8;
    %load/v 8, v0x12fdff0_0, 1;
    %load/v 8, v0xa64c40_0, 1;
    %set/v v0x12fdc30_0, 8, 1;
    %set/v v0xa64880_0, 8, 1;
    %load/v 8, v0x12ff140_0, 1;
    %load/v 8, v0xa65d90_0, 1;
    %set/v v0x12fef30_0, 8, 1;
    %set/v v0xa65b80_0, 8, 1;
    %jmp T_6.17;
    %jmp T_6.17;
T_6.6 ;
T_6.6 ;
    %load/v 8, v0x12fed90_0, 8;
    %load/v 8, v0xa659e0_0, 8;
    %set/v v0x12fea40_0, 8, 8;
    %set/v v0xa65690_0, 8, 8;
    %load/v 8, v0x12fecc0_0, 1;
    %load/v 8, v0xa65910_0, 1;
    %set/v v0x12fdc30_0, 8, 1;
    %set/v v0xa64880_0, 8, 1;
    %load/v 8, v0x12fee60_0, 1;
    %load/v 8, v0xa65ab0_0, 1;
    %set/v v0x12fef30_0, 8, 1;
    %set/v v0xa65b80_0, 8, 1;
    %jmp T_6.17;
    %jmp T_6.17;
T_6.7 ;
T_6.7 ;
    %load/v 8, v0x12fed90_0, 8;
    %load/v 8, v0xa659e0_0, 8;
    %set/v v0x12fea40_0, 8, 8;
    %set/v v0xa65690_0, 8, 8;
    %load/v 8, v0x12fecc0_0, 1;
    %load/v 8, v0xa65910_0, 1;
    %set/v v0x12fdc30_0, 8, 1;
    %set/v v0xa64880_0, 8, 1;
    %load/v 8, v0x12fee60_0, 1;
    %load/v 8, v0xa65ab0_0, 1;
    %set/v v0x12fef30_0, 8, 1;
    %set/v v0xa65b80_0, 8, 1;
    %jmp T_6.17;
    %jmp T_6.17;
T_6.8 ;
T_6.8 ;
    %load/v 8, v0x12fed90_0, 8;
    %load/v 8, v0xa659e0_0, 8;
    %set/v v0x12fea40_0, 8, 8;
    %set/v v0xa65690_0, 8, 8;
    %load/v 8, v0x12fecc0_0, 1;
    %load/v 8, v0xa65910_0, 1;
    %set/v v0x12fdc30_0, 8, 1;
    %set/v v0xa64880_0, 8, 1;
    %load/v 8, v0x12fee60_0, 1;
    %load/v 8, v0xa65ab0_0, 1;
    %set/v v0x12fef30_0, 8, 1;
    %set/v v0xa65b80_0, 8, 1;
    %jmp T_6.17;
    %jmp T_6.17;
T_6.9 ;
T_6.9 ;
    %load/v 8, v0x12fed90_0, 8;
    %load/v 8, v0xa659e0_0, 8;
    %set/v v0x12fea40_0, 8, 8;
    %set/v v0xa65690_0, 8, 8;
    %load/v 8, v0x12fecc0_0, 1;
    %load/v 8, v0xa65910_0, 1;
    %set/v v0x12fdc30_0, 8, 1;
    %set/v v0xa64880_0, 8, 1;
    %load/v 8, v0x12fee60_0, 1;
    %load/v 8, v0xa65ab0_0, 1;
    %set/v v0x12fef30_0, 8, 1;
    %set/v v0xa65b80_0, 8, 1;
    %jmp T_6.17;
    %jmp T_6.17;
T_6.10 ;
T_6.10 ;
    %load/v 8, v0x12fed90_0, 8;
    %load/v 8, v0xa659e0_0, 8;
    %set/v v0x12fea40_0, 8, 8;
    %set/v v0xa65690_0, 8, 8;
    %load/v 8, v0x12fecc0_0, 1;
    %load/v 8, v0xa65910_0, 1;
    %set/v v0x12fdc30_0, 8, 1;
    %set/v v0xa64880_0, 8, 1;
    %load/v 8, v0x12fee60_0, 1;
    %load/v 8, v0xa65ab0_0, 1;
    %set/v v0x12fef30_0, 8, 1;
    %set/v v0xa65b80_0, 8, 1;
    %jmp T_6.17;
    %jmp T_6.17;
T_6.11 ;
T_6.11 ;
    %load/v 8, v0x12fe650_0, 8;
    %load/v 8, v0xa652a0_0, 8;
    %set/v v0x12fea40_0, 8, 8;
    %set/v v0xa65690_0, 8, 8;
    %set/v v0x12fef30_0, 0, 1;
    %set/v v0xa65b80_0, 0, 1;
    %jmp T_6.17;
    %jmp T_6.17;
T_6.12 ;
T_6.12 ;
    %load/v 8, v0x12fe650_0, 8;
    %load/v 8, v0xa652a0_0, 8;
    %set/v v0x12fea40_0, 8, 8;
    %set/v v0xa65690_0, 8, 8;
    %set/v v0x12fef30_0, 0, 1;
    %set/v v0xa65b80_0, 0, 1;
    %jmp T_6.17;
    %jmp T_6.17;
T_6.13 ;
T_6.13 ;
    %load/v 8, v0x12fe650_0, 8;
    %load/v 8, v0xa652a0_0, 8;
    %set/v v0x12fea40_0, 8, 8;
    %set/v v0xa65690_0, 8, 8;
    %set/v v0x12fef30_0, 0, 1;
    %set/v v0xa65b80_0, 0, 1;
    %jmp T_6.17;
    %jmp T_6.17;
T_6.14 ;
T_6.14 ;
    %load/v 8, v0x12fe650_0, 8;
    %load/v 8, v0xa652a0_0, 8;
    %set/v v0x12fea40_0, 8, 8;
    %set/v v0xa65690_0, 8, 8;
    %set/v v0x12fef30_0, 0, 1;
    %set/v v0xa65b80_0, 0, 1;
    %jmp T_6.17;
    %jmp T_6.17;
T_6.15 ;
T_6.15 ;
    %load/v 8, v0x12fe350_0, 4;
    %load/v 8, v0xa64fa0_0, 4;
    %load/v 12, v0x12fe270_0, 4;
    %load/v 12, v0xa64ec0_0, 4;
    %set/v v0x12fea40_0, 8, 8;
    %set/v v0xa65690_0, 8, 8;
    %load/v 8, v0x12fde60_0, 1;
    %load/v 8, v0xa64ab0_0, 1;
    %set/v v0x12fdc30_0, 8, 1;
    %set/v v0xa64880_0, 8, 1;
    %jmp T_6.17;
    %jmp T_6.17;
T_6.16 ;
T_6.16 ;
    %load/v 8, v0x12fd7e0_0, 8;
    %load/v 8, v0xa64430_0, 8;
    %set/v v0x12fea40_0, 8, 8;
    %set/v v0xa65690_0, 8, 8;
    %jmp T_6.17;
    %jmp T_6.17;
T_6.17 ;
T_6.17 ;
    %jmp T_6;
    %jmp T_6;
    .thread T_6, $push;
    .thread T_6, $push;
    .scope S_0x12f72b0;
    .scope S_0xa5dc80;
T_7 ;
T_7 ;
    %wait E_0x12f57d0;
    %wait E_0xa5c1a0;
    %load/v 8, v0x12fea40_0, 8;
    %load/v 8, v0xa65690_0, 8;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v0x12febe0_0, 0, 8;
    %assign/v0 v0xa65830_0, 0, 8;
    %jmp T_7;
    %jmp T_7;
    .thread T_7;
    .thread T_7;
    .scope S_0x12f72b0;
    .scope S_0xa5dc80;
T_8 ;
T_8 ;
    %wait E_0x12f7540;
    %wait E_0xa5df10;
    %load/v 8, v0x12fea40_0, 8;
    %load/v 8, v0xa65690_0, 8;
    %set/v v0x12feb00_0, 8, 8;
    %set/v v0xa65750_0, 8, 8;
    %load/v 8, v0x12fe950_0, 5;
    %load/v 8, v0xa655a0_0, 5;
    %cmpi/u 8, 24, 5;
    %cmpi/u 8, 24, 5;
    %jmp/1 T_8.0, 6;
    %jmp/1 T_8.0, 6;
    %cmpi/u 8, 25, 5;
    %cmpi/u 8, 25, 5;
    %jmp/1 T_8.1, 6;
    %jmp/1 T_8.1, 6;
    %load/v 8, v0x12fdc30_0, 1;
    %load/v 8, v0xa64880_0, 1;
    %load/v 9, v0x12fef30_0, 1;
    %load/v 9, v0xa65b80_0, 1;
    %load/v 16, v0x12fea40_0, 8;
    %load/v 16, v0xa65690_0, 8;
    %cmpi/u 16, 0, 8;
    %cmpi/u 16, 0, 8;
    %mov 16, 4, 1;
    %mov 16, 4, 1;
    %mov 10, 16, 1;
    %mov 10, 16, 1;
    %ix/load 1, 7, 0;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_8.4, 4;
    %jmp/1 T_8.4, 4;
    %load/x1p 16, v0x12fea40_0, 1;
    %load/x1p 16, v0xa65690_0, 1;
    %jmp T_8.5;
    %jmp T_8.5;
T_8.4 ;
T_8.4 ;
    %mov 16, 2, 1;
    %mov 16, 2, 1;
T_8.5 ;
T_8.5 ;
    %mov 11, 16, 1; Move signal select into place
    %mov 11, 16, 1; Move signal select into place
    %load/v 12, v0x12fe4f0_0, 1;
    %load/v 12, v0xa65140_0, 1;
    %ix/load 1, 5, 0;
    %ix/load 1, 5, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_8.6, 4;
    %jmp/1 T_8.6, 4;
    %load/x1p 16, v0x12f99e0_0, 1;
    %load/x1p 16, v0xa60630_0, 1;
    %jmp T_8.7;
    %jmp T_8.7;
T_8.6 ;
T_8.6 ;
    %mov 16, 2, 1;
    %mov 16, 2, 1;
T_8.7 ;
T_8.7 ;
    %mov 13, 16, 1; Move signal select into place
    %mov 13, 16, 1; Move signal select into place
    %ix/load 1, 6, 0;
    %ix/load 1, 6, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_8.8, 4;
    %jmp/1 T_8.8, 4;
    %load/x1p 16, v0x12f99e0_0, 2;
    %load/x1p 16, v0xa60630_0, 2;
    %jmp T_8.9;
    %jmp T_8.9;
T_8.8 ;
T_8.8 ;
    %mov 16, 2, 2;
    %mov 16, 2, 2;
T_8.9 ;
T_8.9 ;
    %mov 14, 16, 2; Move signal select into place
    %mov 14, 16, 2; Move signal select into place
    %set/v v0x12f9ac0_0, 8, 8;
    %set/v v0xa60710_0, 8, 8;
    %jmp T_8.3;
    %jmp T_8.3;
T_8.0 ;
T_8.0 ;
    %load/v 8, v0x12f99e0_0, 8;
    %load/v 8, v0xa60630_0, 8;
    %load/v 16, v0x12fdb90_0, 8;
    %load/v 16, v0xa647e0_0, 8;
    %or 8, 16, 8;
    %or 8, 16, 8;
    %set/v v0x12f9ac0_0, 8, 8;
    %set/v v0xa60710_0, 8, 8;
    %jmp T_8.3;
    %jmp T_8.3;
T_8.1 ;
T_8.1 ;
    %load/v 8, v0x12f99e0_0, 8;
    %load/v 8, v0xa60630_0, 8;
    %load/v 16, v0x12fdb90_0, 8;
    %load/v 16, v0xa647e0_0, 8;
    %and 8, 16, 8;
    %and 8, 16, 8;
    %set/v v0x12f9ac0_0, 8, 8;
    %set/v v0xa60710_0, 8, 8;
    %jmp T_8.3;
    %jmp T_8.3;
T_8.3 ;
T_8.3 ;
    %jmp T_8;
    %jmp T_8;
    .thread T_8, $push;
    .thread T_8, $push;
    .scope S_0x12f72b0;
    .scope S_0xa5dc80;
T_9 ;
T_9 ;
    %end;
    %end;
    .thread T_9;
    .thread T_9;
    .scope S_0x12f55c0;
    .scope S_0xa5bf80;
T_10 ;
T_10 ;
    %wait E_0x12f57d0;
    %wait E_0xa5c1a0;
    %load/v 8, v0x12f5830_0, 1; Only need 1 of 8 bits
    %load/v 8, v0xa5c200_0, 1; Only need 1 of 8 bits
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %jmp/0  T_10.0, 8;
    %jmp/0  T_10.0, 8;
    %load/v 9, v0x12f5930_0, 8;
    %load/v 9, v0xa5c300_0, 8;
    %mov 17, 0, 8;
    %mov 17, 0, 8;
    %jmp/1  T_10.2, 8;
    %jmp/1  T_10.2, 8;
T_10.0 ; End of true expr.
T_10.0 ; End of true expr.
    %jmp/0  T_10.1, 8;
    %jmp/0  T_10.1, 8;
 ; End of false expr.
 ; End of false expr.
Line 1814... Line 1601...
    %mov 9, 0, 16; Return false value
    %mov 9, 0, 16; Return false value
T_10.2 ;
T_10.2 ;
    %ix/load 1, 1, 0;
    %ix/load 1, 1, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_10.6, 4;
    %jmp/1 T_10.6, 4;
    %load/x1p 8, v0x12f5830_0, 1;
    %load/x1p 8, v0xa5c200_0, 1;
    %jmp T_10.7;
    %jmp T_10.7;
T_10.6 ;
T_10.6 ;
    %mov 8, 2, 1;
    %mov 8, 2, 1;
T_10.7 ;
T_10.7 ;
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %jmp/0  T_10.3, 8;
    %jmp/0  T_10.3, 8;
    %mov 25, 0, 1;
    %mov 25, 0, 1;
    %load/v 26, v0x12f5930_0, 8;
    %load/v 26, v0xa5c300_0, 8;
    %mov 34, 0, 7;
    %mov 34, 0, 7;
    %jmp/1  T_10.5, 8;
    %jmp/1  T_10.5, 8;
T_10.3 ; End of true expr.
T_10.3 ; End of true expr.
    %jmp/0  T_10.4, 8;
    %jmp/0  T_10.4, 8;
 ; End of false expr.
 ; End of false expr.
Line 1837... Line 1624...
T_10.5 ;
T_10.5 ;
    %add 9, 25, 16;
    %add 9, 25, 16;
    %ix/load 1, 2, 0;
    %ix/load 1, 2, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_10.11, 4;
    %jmp/1 T_10.11, 4;
    %load/x1p 8, v0x12f5830_0, 1;
    %load/x1p 8, v0xa5c200_0, 1;
    %jmp T_10.12;
    %jmp T_10.12;
T_10.11 ;
T_10.11 ;
    %mov 8, 2, 1;
    %mov 8, 2, 1;
T_10.12 ;
T_10.12 ;
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %jmp/0  T_10.8, 8;
    %jmp/0  T_10.8, 8;
    %mov 25, 0, 2;
    %mov 25, 0, 2;
    %load/v 27, v0x12f5930_0, 8;
    %load/v 27, v0xa5c300_0, 8;
    %mov 35, 0, 6;
    %mov 35, 0, 6;
    %jmp/1  T_10.10, 8;
    %jmp/1  T_10.10, 8;
T_10.8 ; End of true expr.
T_10.8 ; End of true expr.
    %jmp/0  T_10.9, 8;
    %jmp/0  T_10.9, 8;
 ; End of false expr.
 ; End of false expr.
Line 1860... Line 1647...
T_10.10 ;
T_10.10 ;
    %add 9, 25, 16;
    %add 9, 25, 16;
    %ix/load 1, 3, 0;
    %ix/load 1, 3, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_10.16, 4;
    %jmp/1 T_10.16, 4;
    %load/x1p 8, v0x12f5830_0, 1;
    %load/x1p 8, v0xa5c200_0, 1;
    %jmp T_10.17;
    %jmp T_10.17;
T_10.16 ;
T_10.16 ;
    %mov 8, 2, 1;
    %mov 8, 2, 1;
T_10.17 ;
T_10.17 ;
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %jmp/0  T_10.13, 8;
    %jmp/0  T_10.13, 8;
    %mov 25, 0, 3;
    %mov 25, 0, 3;
    %load/v 28, v0x12f5930_0, 8;
    %load/v 28, v0xa5c300_0, 8;
    %mov 36, 0, 5;
    %mov 36, 0, 5;
    %jmp/1  T_10.15, 8;
    %jmp/1  T_10.15, 8;
T_10.13 ; End of true expr.
T_10.13 ; End of true expr.
    %jmp/0  T_10.14, 8;
    %jmp/0  T_10.14, 8;
 ; End of false expr.
 ; End of false expr.
Line 1881... Line 1668...
T_10.14 ;
T_10.14 ;
    %mov 25, 0, 16; Return false value
    %mov 25, 0, 16; Return false value
T_10.15 ;
T_10.15 ;
    %add 9, 25, 16;
    %add 9, 25, 16;
    %ix/load 0, 16, 0;
    %ix/load 0, 16, 0;
    %assign/v0 v0x12f5ae0_0, 0, 9;
    %assign/v0 v0xa5c4b0_0, 0, 9;
    %ix/load 1, 4, 0;
    %ix/load 1, 4, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_10.21, 4;
    %jmp/1 T_10.21, 4;
    %load/x1p 8, v0x12f5830_0, 1;
    %load/x1p 8, v0xa5c200_0, 1;
    %jmp T_10.22;
    %jmp T_10.22;
T_10.21 ;
T_10.21 ;
    %mov 8, 2, 1;
    %mov 8, 2, 1;
T_10.22 ;
T_10.22 ;
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %jmp/0  T_10.18, 8;
    %jmp/0  T_10.18, 8;
    %mov 9, 0, 4;
    %mov 9, 0, 4;
    %load/v 13, v0x12f5930_0, 8;
    %load/v 13, v0xa5c300_0, 8;
    %mov 21, 0, 4;
    %mov 21, 0, 4;
    %jmp/1  T_10.20, 8;
    %jmp/1  T_10.20, 8;
T_10.18 ; End of true expr.
T_10.18 ; End of true expr.
    %jmp/0  T_10.19, 8;
    %jmp/0  T_10.19, 8;
 ; End of false expr.
 ; End of false expr.
Line 1907... Line 1694...
    %mov 9, 0, 16; Return false value
    %mov 9, 0, 16; Return false value
T_10.20 ;
T_10.20 ;
    %ix/load 1, 5, 0;
    %ix/load 1, 5, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_10.26, 4;
    %jmp/1 T_10.26, 4;
    %load/x1p 8, v0x12f5830_0, 1;
    %load/x1p 8, v0xa5c200_0, 1;
    %jmp T_10.27;
    %jmp T_10.27;
T_10.26 ;
T_10.26 ;
    %mov 8, 2, 1;
    %mov 8, 2, 1;
T_10.27 ;
T_10.27 ;
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %jmp/0  T_10.23, 8;
    %jmp/0  T_10.23, 8;
    %mov 25, 0, 5;
    %mov 25, 0, 5;
    %load/v 30, v0x12f5930_0, 8;
    %load/v 30, v0xa5c300_0, 8;
    %mov 38, 0, 3;
    %mov 38, 0, 3;
    %jmp/1  T_10.25, 8;
    %jmp/1  T_10.25, 8;
T_10.23 ; End of true expr.
T_10.23 ; End of true expr.
    %jmp/0  T_10.24, 8;
    %jmp/0  T_10.24, 8;
 ; End of false expr.
 ; End of false expr.
Line 1930... Line 1717...
T_10.25 ;
T_10.25 ;
    %add 9, 25, 16;
    %add 9, 25, 16;
    %ix/load 1, 6, 0;
    %ix/load 1, 6, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_10.31, 4;
    %jmp/1 T_10.31, 4;
    %load/x1p 8, v0x12f5830_0, 1;
    %load/x1p 8, v0xa5c200_0, 1;
    %jmp T_10.32;
    %jmp T_10.32;
T_10.31 ;
T_10.31 ;
    %mov 8, 2, 1;
    %mov 8, 2, 1;
T_10.32 ;
T_10.32 ;
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %jmp/0  T_10.28, 8;
    %jmp/0  T_10.28, 8;
    %mov 25, 0, 6;
    %mov 25, 0, 6;
    %load/v 31, v0x12f5930_0, 8;
    %load/v 31, v0xa5c300_0, 8;
    %mov 39, 0, 2;
    %mov 39, 0, 2;
    %jmp/1  T_10.30, 8;
    %jmp/1  T_10.30, 8;
T_10.28 ; End of true expr.
T_10.28 ; End of true expr.
    %jmp/0  T_10.29, 8;
    %jmp/0  T_10.29, 8;
 ; End of false expr.
 ; End of false expr.
Line 1953... Line 1740...
T_10.30 ;
T_10.30 ;
    %add 9, 25, 16;
    %add 9, 25, 16;
    %ix/load 1, 7, 0;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_10.36, 4;
    %jmp/1 T_10.36, 4;
    %load/x1p 8, v0x12f5830_0, 1;
    %load/x1p 8, v0xa5c200_0, 1;
    %jmp T_10.37;
    %jmp T_10.37;
T_10.36 ;
T_10.36 ;
    %mov 8, 2, 1;
    %mov 8, 2, 1;
T_10.37 ;
T_10.37 ;
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %jmp/0  T_10.33, 8;
    %jmp/0  T_10.33, 8;
    %mov 25, 0, 7;
    %mov 25, 0, 7;
    %load/v 32, v0x12f5930_0, 8;
    %load/v 32, v0xa5c300_0, 8;
    %mov 40, 0, 1;
    %mov 40, 0, 1;
    %jmp/1  T_10.35, 8;
    %jmp/1  T_10.35, 8;
T_10.33 ; End of true expr.
T_10.33 ; End of true expr.
    %jmp/0  T_10.34, 8;
    %jmp/0  T_10.34, 8;
 ; End of false expr.
 ; End of false expr.
Line 1973... Line 1760...
    %jmp  T_10.35;
    %jmp  T_10.35;
T_10.34 ;
T_10.34 ;
    %mov 25, 0, 16; Return false value
    %mov 25, 0, 16; Return false value
T_10.35 ;
T_10.35 ;
    %add 9, 25, 16;
    %add 9, 25, 16;
    %load/v 25, v0x12f5ae0_0, 16;
    %load/v 25, v0xa5c4b0_0, 16;
    %add 9, 25, 16;
    %add 9, 25, 16;
    %ix/load 0, 16, 0;
    %ix/load 0, 16, 0;
    %assign/v0 v0x12f5bc0_0, 0, 9;
    %assign/v0 v0xa5c590_0, 0, 9;
    %jmp T_10;
    %jmp T_10;
    .thread T_10;
    .thread T_10;
    .scope S_0x127d840;
    .scope S_0xa0b500;
T_11 ;
T_11 ;
    %wait E_0x119a370;
    %wait E_0x9b1f20;
    %load/v 8, v0x12f51d0_0, 2;
    %load/v 8, v0xa5bb90_0, 2;
    %cmpi/u 8, 0, 2;
    %cmpi/u 8, 0, 2;
    %jmp/1 T_11.0, 6;
    %jmp/1 T_11.0, 6;
    %cmpi/u 8, 1, 2;
    %cmpi/u 8, 1, 2;
    %jmp/1 T_11.1, 6;
    %jmp/1 T_11.1, 6;
    %cmpi/u 8, 2, 2;
    %cmpi/u 8, 2, 2;
    %jmp/1 T_11.2, 6;
    %jmp/1 T_11.2, 6;
    %cmpi/u 8, 3, 2;
    %cmpi/u 8, 3, 2;
    %jmp/1 T_11.3, 6;
    %jmp/1 T_11.3, 6;
    %jmp T_11.4;
    %jmp T_11.4;
T_11.0 ;
T_11.0 ;
    %load/v 8, v0x125aa40_0, 16;
    %load/v 8, v0x9cc540_0, 16;
    %mov 24, 0, 1;
    %mov 24, 0, 1;
    %load/v 25, v0x12f4f60_0, 16;
    %load/v 25, v0xa5b920_0, 16;
    %mov 41, 0, 1;
    %mov 41, 0, 1;
    %add 8, 25, 17;
    %add 8, 25, 17;
    %set/v v0x12f53c0_0, 8, 16;
    %set/v v0xa5bd80_0, 8, 16;
    %set/v v0x12f5110_0, 24, 1;
    %set/v v0xa5bad0_0, 24, 1;
    %jmp T_11.4;
    %jmp T_11.4;
T_11.1 ;
T_11.1 ;
    %load/v 8, v0x125aa40_0, 16;
    %load/v 8, v0x9cc540_0, 16;
    %mov 24, 0, 1;
    %mov 24, 0, 1;
    %load/v 25, v0x12f4f60_0, 16;
    %load/v 25, v0xa5b920_0, 16;
    %mov 41, 0, 1;
    %mov 41, 0, 1;
    %sub 8, 25, 17;
    %sub 8, 25, 17;
    %set/v v0x12f53c0_0, 8, 16;
    %set/v v0xa5bd80_0, 8, 16;
    %set/v v0x12f5110_0, 24, 1;
    %set/v v0xa5bad0_0, 24, 1;
    %jmp T_11.4;
    %jmp T_11.4;
T_11.2 ;
T_11.2 ;
    %load/v 8, v0x125aa40_0, 16;
    %load/v 8, v0x9cc540_0, 16;
    %mov 24, 0, 1;
    %mov 24, 0, 1;
    %load/v 25, v0x12f4f60_0, 16;
    %load/v 25, v0xa5b920_0, 16;
    %mov 41, 0, 1;
    %mov 41, 0, 1;
    %add 8, 25, 17;
    %add 8, 25, 17;
    %load/v 42, v0x12f5040_0, 1;
    %load/v 42, v0xa5ba00_0, 1;
    %mov 43, 0, 8;
    %mov 43, 0, 8;
    %mov 25, 42, 9;
    %mov 25, 42, 9;
    %pad 34, 0, 8;
    %pad 34, 0, 8;
    %add 8, 25, 17;
    %add 8, 25, 17;
    %set/v v0x12f53c0_0, 8, 16;
    %set/v v0xa5bd80_0, 8, 16;
    %set/v v0x12f5110_0, 24, 1;
    %set/v v0xa5bad0_0, 24, 1;
    %jmp T_11.4;
    %jmp T_11.4;
T_11.3 ;
T_11.3 ;
    %load/v 8, v0x125aa40_0, 16;
    %load/v 8, v0x9cc540_0, 16;
    %mov 24, 0, 1;
    %mov 24, 0, 1;
    %load/v 25, v0x12f4f60_0, 16;
    %load/v 25, v0xa5b920_0, 16;
    %mov 41, 0, 1;
    %mov 41, 0, 1;
    %sub 8, 25, 17;
    %sub 8, 25, 17;
    %load/v 42, v0x12f5040_0, 1;
    %load/v 42, v0xa5ba00_0, 1;
    %mov 43, 0, 8;
    %mov 43, 0, 8;
    %mov 25, 42, 9;
    %mov 25, 42, 9;
    %pad 34, 0, 8;
    %pad 34, 0, 8;
    %sub 8, 25, 17;
    %sub 8, 25, 17;
    %set/v v0x12f53c0_0, 8, 16;
    %set/v v0xa5bd80_0, 8, 16;
    %set/v v0x12f5110_0, 24, 1;
    %set/v v0xa5bad0_0, 24, 1;
    %jmp T_11.4;
    %jmp T_11.4;
T_11.4 ;
T_11.4 ;
    %jmp T_11;
    %jmp T_11;
    .thread T_11, $push;
    .thread T_11, $push;
    .scope S_0x127d840;
    .scope S_0xa0b500;
T_12 ;
T_12 ;
    %wait E_0x1265350;
    %wait E_0x9b6de0;
    %load/v 8, v0x12f51d0_0, 2;
    %load/v 8, v0xa5bb90_0, 2;
    %cmpi/u 8, 0, 2;
    %cmpi/u 8, 0, 2;
    %jmp/1 T_12.0, 6;
    %jmp/1 T_12.0, 6;
    %cmpi/u 8, 2, 2;
    %cmpi/u 8, 2, 2;
    %jmp/1 T_12.1, 6;
    %jmp/1 T_12.1, 6;
    %cmpi/u 8, 1, 2;
    %cmpi/u 8, 1, 2;
Line 2058... Line 1845...
    %jmp T_12.4;
    %jmp T_12.4;
T_12.0 ;
T_12.0 ;
    %ix/load 1, 15, 0;
    %ix/load 1, 15, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_12.5, 4;
    %jmp/1 T_12.5, 4;
    %load/x1p 8, v0x125aa40_0, 1;
    %load/x1p 8, v0x9cc540_0, 1;
    %jmp T_12.6;
    %jmp T_12.6;
T_12.5 ;
T_12.5 ;
    %mov 8, 2, 1;
    %mov 8, 2, 1;
T_12.6 ;
T_12.6 ;
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %ix/load 1, 15, 0;
    %ix/load 1, 15, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_12.7, 4;
    %jmp/1 T_12.7, 4;
    %load/x1p 9, v0x12f4f60_0, 1;
    %load/x1p 9, v0xa5b920_0, 1;
    %jmp T_12.8;
    %jmp T_12.8;
T_12.7 ;
T_12.7 ;
    %mov 9, 2, 1;
    %mov 9, 2, 1;
T_12.8 ;
T_12.8 ;
; Save base=9 wid=1 in lookaside.
; Save base=9 wid=1 in lookaside.
    %and 8, 9, 1;
    %and 8, 9, 1;
    %ix/load 1, 15, 0;
    %ix/load 1, 15, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_12.9, 4;
    %jmp/1 T_12.9, 4;
    %load/x1p 9, v0x12f53c0_0, 1;
    %load/x1p 9, v0xa5bd80_0, 1;
    %jmp T_12.10;
    %jmp T_12.10;
T_12.9 ;
T_12.9 ;
    %mov 9, 2, 1;
    %mov 9, 2, 1;
T_12.10 ;
T_12.10 ;
; Save base=9 wid=1 in lookaside.
; Save base=9 wid=1 in lookaside.
    %inv 9, 1;
    %inv 9, 1;
    %and 8, 9, 1;
    %and 8, 9, 1;
    %ix/load 1, 15, 0;
    %ix/load 1, 15, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_12.11, 4;
    %jmp/1 T_12.11, 4;
    %load/x1p 9, v0x125aa40_0, 1;
    %load/x1p 9, v0x9cc540_0, 1;
    %jmp T_12.12;
    %jmp T_12.12;
T_12.11 ;
T_12.11 ;
    %mov 9, 2, 1;
    %mov 9, 2, 1;
T_12.12 ;
T_12.12 ;
; Save base=9 wid=1 in lookaside.
; Save base=9 wid=1 in lookaside.
    %inv 9, 1;
    %inv 9, 1;
    %ix/load 1, 15, 0;
    %ix/load 1, 15, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_12.13, 4;
    %jmp/1 T_12.13, 4;
    %load/x1p 10, v0x12f4f60_0, 1;
    %load/x1p 10, v0xa5b920_0, 1;
    %jmp T_12.14;
    %jmp T_12.14;
T_12.13 ;
T_12.13 ;
    %mov 10, 2, 1;
    %mov 10, 2, 1;
T_12.14 ;
T_12.14 ;
; Save base=10 wid=1 in lookaside.
; Save base=10 wid=1 in lookaside.
    %inv 10, 1;
    %inv 10, 1;
    %and 9, 10, 1;
    %and 9, 10, 1;
    %ix/load 1, 7, 0;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_12.15, 4;
    %jmp/1 T_12.15, 4;
    %load/x1p 10, v0x12f53c0_0, 1;
    %load/x1p 10, v0xa5bd80_0, 1;
    %jmp T_12.16;
    %jmp T_12.16;
T_12.15 ;
T_12.15 ;
    %mov 10, 2, 1;
    %mov 10, 2, 1;
T_12.16 ;
T_12.16 ;
; Save base=10 wid=1 in lookaside.
; Save base=10 wid=1 in lookaside.
    %and 9, 10, 1;
    %and 9, 10, 1;
    %or 8, 9, 1;
    %or 8, 9, 1;
    %set/v v0x12f5300_0, 8, 1;
    %set/v v0xa5bcc0_0, 8, 1;
    %jmp T_12.4;
    %jmp T_12.4;
T_12.1 ;
T_12.1 ;
    %ix/load 1, 15, 0;
    %ix/load 1, 15, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_12.17, 4;
    %jmp/1 T_12.17, 4;
    %load/x1p 8, v0x125aa40_0, 1;
    %load/x1p 8, v0x9cc540_0, 1;
    %jmp T_12.18;
    %jmp T_12.18;
T_12.17 ;
T_12.17 ;
    %mov 8, 2, 1;
    %mov 8, 2, 1;
T_12.18 ;
T_12.18 ;
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %ix/load 1, 15, 0;
    %ix/load 1, 15, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_12.19, 4;
    %jmp/1 T_12.19, 4;
    %load/x1p 9, v0x12f4f60_0, 1;
    %load/x1p 9, v0xa5b920_0, 1;
    %jmp T_12.20;
    %jmp T_12.20;
T_12.19 ;
T_12.19 ;
    %mov 9, 2, 1;
    %mov 9, 2, 1;
T_12.20 ;
T_12.20 ;
; Save base=9 wid=1 in lookaside.
; Save base=9 wid=1 in lookaside.
    %and 8, 9, 1;
    %and 8, 9, 1;
    %ix/load 1, 15, 0;
    %ix/load 1, 15, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_12.21, 4;
    %jmp/1 T_12.21, 4;
    %load/x1p 9, v0x12f53c0_0, 1;
    %load/x1p 9, v0xa5bd80_0, 1;
    %jmp T_12.22;
    %jmp T_12.22;
T_12.21 ;
T_12.21 ;
    %mov 9, 2, 1;
    %mov 9, 2, 1;
T_12.22 ;
T_12.22 ;
; Save base=9 wid=1 in lookaside.
; Save base=9 wid=1 in lookaside.
    %inv 9, 1;
    %inv 9, 1;
    %and 8, 9, 1;
    %and 8, 9, 1;
    %ix/load 1, 15, 0;
    %ix/load 1, 15, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_12.23, 4;
    %jmp/1 T_12.23, 4;
    %load/x1p 9, v0x125aa40_0, 1;
    %load/x1p 9, v0x9cc540_0, 1;
    %jmp T_12.24;
    %jmp T_12.24;
T_12.23 ;
T_12.23 ;
    %mov 9, 2, 1;
    %mov 9, 2, 1;
T_12.24 ;
T_12.24 ;
; Save base=9 wid=1 in lookaside.
; Save base=9 wid=1 in lookaside.
    %inv 9, 1;
    %inv 9, 1;
    %ix/load 1, 15, 0;
    %ix/load 1, 15, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_12.25, 4;
    %jmp/1 T_12.25, 4;
    %load/x1p 10, v0x12f4f60_0, 1;
    %load/x1p 10, v0xa5b920_0, 1;
    %jmp T_12.26;
    %jmp T_12.26;
T_12.25 ;
T_12.25 ;
    %mov 10, 2, 1;
    %mov 10, 2, 1;
T_12.26 ;
T_12.26 ;
; Save base=10 wid=1 in lookaside.
; Save base=10 wid=1 in lookaside.
    %inv 10, 1;
    %inv 10, 1;
    %and 9, 10, 1;
    %and 9, 10, 1;
    %ix/load 1, 7, 0;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_12.27, 4;
    %jmp/1 T_12.27, 4;
    %load/x1p 10, v0x12f53c0_0, 1;
    %load/x1p 10, v0xa5bd80_0, 1;
    %jmp T_12.28;
    %jmp T_12.28;
T_12.27 ;
T_12.27 ;
    %mov 10, 2, 1;
    %mov 10, 2, 1;
T_12.28 ;
T_12.28 ;
; Save base=10 wid=1 in lookaside.
; Save base=10 wid=1 in lookaside.
    %and 9, 10, 1;
    %and 9, 10, 1;
    %or 8, 9, 1;
    %or 8, 9, 1;
    %set/v v0x12f5300_0, 8, 1;
    %set/v v0xa5bcc0_0, 8, 1;
    %jmp T_12.4;
    %jmp T_12.4;
T_12.2 ;
T_12.2 ;
    %ix/load 1, 15, 0;
    %ix/load 1, 15, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_12.29, 4;
    %jmp/1 T_12.29, 4;
    %load/x1p 8, v0x125aa40_0, 1;
    %load/x1p 8, v0x9cc540_0, 1;
    %jmp T_12.30;
    %jmp T_12.30;
T_12.29 ;
T_12.29 ;
    %mov 8, 2, 1;
    %mov 8, 2, 1;
T_12.30 ;
T_12.30 ;
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %ix/load 1, 15, 0;
    %ix/load 1, 15, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_12.31, 4;
    %jmp/1 T_12.31, 4;
    %load/x1p 9, v0x12f4f60_0, 1;
    %load/x1p 9, v0xa5b920_0, 1;
    %jmp T_12.32;
    %jmp T_12.32;
T_12.31 ;
T_12.31 ;
    %mov 9, 2, 1;
    %mov 9, 2, 1;
T_12.32 ;
T_12.32 ;
; Save base=9 wid=1 in lookaside.
; Save base=9 wid=1 in lookaside.
    %inv 9, 1;
    %inv 9, 1;
    %and 8, 9, 1;
    %and 8, 9, 1;
    %ix/load 1, 15, 0;
    %ix/load 1, 15, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_12.33, 4;
    %jmp/1 T_12.33, 4;
    %load/x1p 9, v0x12f53c0_0, 1;
    %load/x1p 9, v0xa5bd80_0, 1;
    %jmp T_12.34;
    %jmp T_12.34;
T_12.33 ;
T_12.33 ;
    %mov 9, 2, 1;
    %mov 9, 2, 1;
T_12.34 ;
T_12.34 ;
; Save base=9 wid=1 in lookaside.
; Save base=9 wid=1 in lookaside.
    %inv 9, 1;
    %inv 9, 1;
    %and 8, 9, 1;
    %and 8, 9, 1;
    %ix/load 1, 15, 0;
    %ix/load 1, 15, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_12.35, 4;
    %jmp/1 T_12.35, 4;
    %load/x1p 9, v0x125aa40_0, 1;
    %load/x1p 9, v0x9cc540_0, 1;
    %jmp T_12.36;
    %jmp T_12.36;
T_12.35 ;
T_12.35 ;
    %mov 9, 2, 1;
    %mov 9, 2, 1;
T_12.36 ;
T_12.36 ;
; Save base=9 wid=1 in lookaside.
; Save base=9 wid=1 in lookaside.
    %inv 9, 1;
    %inv 9, 1;
    %ix/load 1, 15, 0;
    %ix/load 1, 15, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_12.37, 4;
    %jmp/1 T_12.37, 4;
    %load/x1p 10, v0x12f4f60_0, 1;
    %load/x1p 10, v0xa5b920_0, 1;
    %jmp T_12.38;
    %jmp T_12.38;
T_12.37 ;
T_12.37 ;
    %mov 10, 2, 1;
    %mov 10, 2, 1;
T_12.38 ;
T_12.38 ;
; Save base=10 wid=1 in lookaside.
; Save base=10 wid=1 in lookaside.
    %and 9, 10, 1;
    %and 9, 10, 1;
    %ix/load 1, 7, 0;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_12.39, 4;
    %jmp/1 T_12.39, 4;
    %load/x1p 10, v0x12f53c0_0, 1;
    %load/x1p 10, v0xa5bd80_0, 1;
    %jmp T_12.40;
    %jmp T_12.40;
T_12.39 ;
T_12.39 ;
    %mov 10, 2, 1;
    %mov 10, 2, 1;
T_12.40 ;
T_12.40 ;
; Save base=10 wid=1 in lookaside.
; Save base=10 wid=1 in lookaside.
    %and 9, 10, 1;
    %and 9, 10, 1;
    %or 8, 9, 1;
    %or 8, 9, 1;
    %set/v v0x12f5300_0, 8, 1;
    %set/v v0xa5bcc0_0, 8, 1;
    %jmp T_12.4;
    %jmp T_12.4;
T_12.3 ;
T_12.3 ;
    %ix/load 1, 15, 0;
    %ix/load 1, 15, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_12.41, 4;
    %jmp/1 T_12.41, 4;
    %load/x1p 8, v0x125aa40_0, 1;
    %load/x1p 8, v0x9cc540_0, 1;
    %jmp T_12.42;
    %jmp T_12.42;
T_12.41 ;
T_12.41 ;
    %mov 8, 2, 1;
    %mov 8, 2, 1;
T_12.42 ;
T_12.42 ;
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %ix/load 1, 15, 0;
    %ix/load 1, 15, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_12.43, 4;
    %jmp/1 T_12.43, 4;
    %load/x1p 9, v0x12f4f60_0, 1;
    %load/x1p 9, v0xa5b920_0, 1;
    %jmp T_12.44;
    %jmp T_12.44;
T_12.43 ;
T_12.43 ;
    %mov 9, 2, 1;
    %mov 9, 2, 1;
T_12.44 ;
T_12.44 ;
; Save base=9 wid=1 in lookaside.
; Save base=9 wid=1 in lookaside.
    %inv 9, 1;
    %inv 9, 1;
    %and 8, 9, 1;
    %and 8, 9, 1;
    %ix/load 1, 15, 0;
    %ix/load 1, 15, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_12.45, 4;
    %jmp/1 T_12.45, 4;
    %load/x1p 9, v0x12f53c0_0, 1;
    %load/x1p 9, v0xa5bd80_0, 1;
    %jmp T_12.46;
    %jmp T_12.46;
T_12.45 ;
T_12.45 ;
    %mov 9, 2, 1;
    %mov 9, 2, 1;
T_12.46 ;
T_12.46 ;
; Save base=9 wid=1 in lookaside.
; Save base=9 wid=1 in lookaside.
    %inv 9, 1;
    %inv 9, 1;
    %and 8, 9, 1;
    %and 8, 9, 1;
    %ix/load 1, 15, 0;
    %ix/load 1, 15, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_12.47, 4;
    %jmp/1 T_12.47, 4;
    %load/x1p 9, v0x125aa40_0, 1;
    %load/x1p 9, v0x9cc540_0, 1;
    %jmp T_12.48;
    %jmp T_12.48;
T_12.47 ;
T_12.47 ;
    %mov 9, 2, 1;
    %mov 9, 2, 1;
T_12.48 ;
T_12.48 ;
; Save base=9 wid=1 in lookaside.
; Save base=9 wid=1 in lookaside.
    %inv 9, 1;
    %inv 9, 1;
    %ix/load 1, 15, 0;
    %ix/load 1, 15, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_12.49, 4;
    %jmp/1 T_12.49, 4;
    %load/x1p 10, v0x12f4f60_0, 1;
    %load/x1p 10, v0xa5b920_0, 1;
    %jmp T_12.50;
    %jmp T_12.50;
T_12.49 ;
T_12.49 ;
    %mov 10, 2, 1;
    %mov 10, 2, 1;
T_12.50 ;
T_12.50 ;
; Save base=10 wid=1 in lookaside.
; Save base=10 wid=1 in lookaside.
    %and 9, 10, 1;
    %and 9, 10, 1;
    %ix/load 1, 7, 0;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_12.51, 4;
    %jmp/1 T_12.51, 4;
    %load/x1p 10, v0x12f53c0_0, 1;
    %load/x1p 10, v0xa5bd80_0, 1;
    %jmp T_12.52;
    %jmp T_12.52;
T_12.51 ;
T_12.51 ;
    %mov 10, 2, 1;
    %mov 10, 2, 1;
T_12.52 ;
T_12.52 ;
; Save base=10 wid=1 in lookaside.
; Save base=10 wid=1 in lookaside.
    %and 9, 10, 1;
    %and 9, 10, 1;
    %or 8, 9, 1;
    %or 8, 9, 1;
    %set/v v0x12f5300_0, 8, 1;
    %set/v v0xa5bcc0_0, 8, 1;
    %jmp T_12.4;
    %jmp T_12.4;
T_12.4 ;
T_12.4 ;
    %jmp T_12;
    %jmp T_12;
    .thread T_12, $push;
    .thread T_12, $push;
    .scope S_0x1110f90;
    .scope S_0x9e41d0;
T_13 ;
T_13 ;
    %wait E_0x1256590;
    %wait E_0x9fcad0;
    %set/v v0x12f6910_0, 0, 16;
    %set/v v0xa5d2e0_0, 0, 16;
    %load/v 8, v0x12f6500_0, 1;
    %load/v 8, v0xa5ced0_0, 1;
    %set/v v0x12f6460_0, 8, 1;
    %set/v v0xa5ce30_0, 8, 1;
    %load/v 8, v0x12f6f50_0, 1;
    %load/v 8, v0xa5d920_0, 1;
    %set/v v0x12f6e90_0, 8, 1;
    %set/v v0xa5d860_0, 8, 1;
    %load/v 8, v0x12f6870_0, 5;
    %load/v 8, v0xa5d240_0, 5;
    %cmpi/u 8, 8, 5;
    %cmpi/u 8, 8, 5;
    %jmp/1 T_13.0, 6;
    %jmp/1 T_13.0, 6;
    %cmpi/u 8, 10, 5;
    %cmpi/u 8, 10, 5;
    %jmp/1 T_13.1, 6;
    %jmp/1 T_13.1, 6;
    %cmpi/u 8, 9, 5;
    %cmpi/u 8, 9, 5;
Line 2346... Line 2133...
    %jmp/1 T_13.7, 6;
    %jmp/1 T_13.7, 6;
    %cmpi/u 8, 28, 5;
    %cmpi/u 8, 28, 5;
    %jmp/1 T_13.8, 6;
    %jmp/1 T_13.8, 6;
    %jmp T_13.9;
    %jmp T_13.9;
T_13.0 ;
T_13.0 ;
    %load/v 8, v0x12f61d0_0, 16;
    %load/v 8, v0xa5cba0_0, 16;
    %set/v v0x12f6910_0, 8, 16;
    %set/v v0xa5d2e0_0, 8, 16;
    %load/v 8, v0x12f6100_0, 1;
    %load/v 8, v0xa5cad0_0, 1;
    %set/v v0x12f6460_0, 8, 1;
    %set/v v0xa5ce30_0, 8, 1;
    %load/v 8, v0x12f62c0_0, 1;
    %load/v 8, v0xa5cc90_0, 1;
    %set/v v0x12f6e90_0, 8, 1;
    %set/v v0xa5d860_0, 8, 1;
    %jmp T_13.9;
    %jmp T_13.9;
T_13.1 ;
T_13.1 ;
    %load/v 8, v0x12f61d0_0, 16;
    %load/v 8, v0xa5cba0_0, 16;
    %set/v v0x12f6910_0, 8, 16;
    %set/v v0xa5d2e0_0, 8, 16;
    %load/v 8, v0x12f6100_0, 1;
    %load/v 8, v0xa5cad0_0, 1;
    %set/v v0x12f6460_0, 8, 1;
    %set/v v0xa5ce30_0, 8, 1;
    %load/v 8, v0x12f62c0_0, 1;
    %load/v 8, v0xa5cc90_0, 1;
    %set/v v0x12f6e90_0, 8, 1;
    %set/v v0xa5d860_0, 8, 1;
    %jmp T_13.9;
    %jmp T_13.9;
T_13.2 ;
T_13.2 ;
    %load/v 8, v0x12f61d0_0, 16;
    %load/v 8, v0xa5cba0_0, 16;
    %set/v v0x12f6910_0, 8, 16;
    %set/v v0xa5d2e0_0, 8, 16;
    %load/v 8, v0x12f6100_0, 1;
    %load/v 8, v0xa5cad0_0, 1;
    %set/v v0x12f6460_0, 8, 1;
    %set/v v0xa5ce30_0, 8, 1;
    %load/v 8, v0x12f62c0_0, 1;
    %load/v 8, v0xa5cc90_0, 1;
    %set/v v0x12f6e90_0, 8, 1;
    %set/v v0xa5d860_0, 8, 1;
    %jmp T_13.9;
    %jmp T_13.9;
T_13.3 ;
T_13.3 ;
    %load/v 8, v0x12f61d0_0, 16;
    %load/v 8, v0xa5cba0_0, 16;
    %set/v v0x12f6910_0, 8, 16;
    %set/v v0xa5d2e0_0, 8, 16;
    %load/v 8, v0x12f6100_0, 1;
    %load/v 8, v0xa5cad0_0, 1;
    %set/v v0x12f6460_0, 8, 1;
    %set/v v0xa5ce30_0, 8, 1;
    %load/v 8, v0x12f62c0_0, 1;
    %load/v 8, v0xa5cc90_0, 1;
    %set/v v0x12f6e90_0, 8, 1;
    %set/v v0xa5d860_0, 8, 1;
    %jmp T_13.9;
    %jmp T_13.9;
T_13.4 ;
T_13.4 ;
    %load/v 8, v0x12f69f0_0, 16;
    %load/v 8, v0xa5d3c0_0, 16;
    %set/v v0x12f6910_0, 8, 16;
    %set/v v0xa5d2e0_0, 8, 16;
    %ix/load 1, 7, 0;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_13.10, 4;
    %jmp/1 T_13.10, 4;
    %load/x1p 8, v0x12f69f0_0, 1;
    %load/x1p 8, v0xa5d3c0_0, 1;
    %jmp T_13.11;
    %jmp T_13.11;
T_13.10 ;
T_13.10 ;
    %mov 8, 2, 1;
    %mov 8, 2, 1;
T_13.11 ;
T_13.11 ;
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %set/v v0x12f6460_0, 8, 1;
    %set/v v0xa5ce30_0, 8, 1;
    %jmp T_13.9;
    %jmp T_13.9;
T_13.5 ;
T_13.5 ;
    %set/v v0x12f6e90_0, 0, 1;
    %set/v v0xa5d860_0, 0, 1;
    %load/v 8, v0x12f6390_0, 16;
    %load/v 8, v0xa5cd60_0, 16;
    %set/v v0x12f6910_0, 8, 16;
    %set/v v0xa5d2e0_0, 8, 16;
    %jmp T_13.9;
    %jmp T_13.9;
T_13.6 ;
T_13.6 ;
    %load/v 8, v0x12f6030_0, 16;
    %load/v 8, v0xa5ca00_0, 16;
    %set/v v0x12f6910_0, 8, 16;
    %set/v v0xa5d2e0_0, 8, 16;
    %jmp T_13.9;
    %jmp T_13.9;
T_13.7 ;
T_13.7 ;
    %load/v 8, v0x12f6390_0, 8; Select 8 out of 16 bits
    %load/v 8, v0xa5cd60_0, 8; Select 8 out of 16 bits
    %ix/load 1, 7, 0;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_13.15, 4;
    %jmp/1 T_13.15, 4;
    %load/x1p 24, v0x12f6390_0, 1;
    %load/x1p 24, v0xa5cd60_0, 1;
    %jmp T_13.16;
    %jmp T_13.16;
T_13.15 ;
T_13.15 ;
    %mov 24, 2, 1;
    %mov 24, 2, 1;
T_13.16 ;
T_13.16 ;
; Save base=24 wid=1 in lookaside.
; Save base=24 wid=1 in lookaside.
Line 2423... Line 2210...
    %jmp  T_13.14;
    %jmp  T_13.14;
T_13.13 ;
T_13.13 ;
    %mov 25, 0, 8; Return false value
    %mov 25, 0, 8; Return false value
T_13.14 ;
T_13.14 ;
    %mov 16, 25, 8;
    %mov 16, 25, 8;
    %set/v v0x12f6910_0, 8, 16;
    %set/v v0xa5d2e0_0, 8, 16;
    %jmp T_13.9;
    %jmp T_13.9;
T_13.8 ;
T_13.8 ;
    %load/v 8, v0x12f6030_0, 16;
    %load/v 8, v0xa5ca00_0, 16;
    %set/v v0x12f6910_0, 8, 16;
    %set/v v0xa5d2e0_0, 8, 16;
    %jmp T_13.9;
    %jmp T_13.9;
T_13.9 ;
T_13.9 ;
    %jmp T_13;
    %jmp T_13;
    .thread T_13, $push;
    .thread T_13, $push;
    .scope S_0x1110f90;
    .scope S_0x9e41d0;
T_14 ;
T_14 ;
    %wait E_0x12f57d0;
    %wait E_0xa5c1a0;
    %load/v 8, v0x12f6910_0, 16;
    %load/v 8, v0xa5d2e0_0, 16;
    %ix/load 0, 16, 0;
    %ix/load 0, 16, 0;
    %assign/v0 v0x12f6df0_0, 0, 8;
    %assign/v0 v0xa5d7c0_0, 0, 8;
    %load/v 8, v0x12f67d0_0, 1;
    %load/v 8, v0xa5d1a0_0, 1;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x12f6ba0_0, 0, 8;
    %assign/v0 v0xa5d570_0, 0, 8;
    %load/v 8, v0x12f70d0_0, 1;
    %load/v 8, v0xa5daa0_0, 1;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x12f6d50_0, 0, 8;
    %assign/v0 v0xa5d720_0, 0, 8;
    %jmp T_14;
    %jmp T_14;
    .thread T_14;
    .thread T_14;
    .scope S_0x1110f90;
    .scope S_0x9e41d0;
T_15 ;
T_15 ;
    %wait E_0x129b7f0;
    %wait E_0x9fce00;
    %ix/load 1, 15, 0;
    %ix/load 1, 15, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_15.0, 4;
    %jmp/1 T_15.0, 4;
    %load/x1p 8, v0x12f6910_0, 1;
    %load/x1p 8, v0xa5d2e0_0, 1;
    %jmp T_15.1;
    %jmp T_15.1;
T_15.0 ;
T_15.0 ;
    %mov 8, 2, 1;
    %mov 8, 2, 1;
T_15.1 ;
T_15.1 ;
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %set/v v0x12f6730_0, 8, 1;
    %set/v v0xa5d100_0, 8, 1;
    %load/v 8, v0x12f6910_0, 16;
    %load/v 8, v0xa5d2e0_0, 16;
    %cmpi/u 8, 0, 16;
    %cmpi/u 8, 0, 16;
    %mov 8, 4, 1;
    %mov 8, 4, 1;
    %set/v v0x12f7010_0, 8, 1;
    %set/v v0xa5d9e0_0, 8, 1;
    %load/v 8, v0x12f6870_0, 5;
    %load/v 8, v0xa5d240_0, 5;
    %cmpi/u 8, 8, 5;
    %cmpi/u 8, 8, 5;
    %jmp/1 T_15.2, 6;
    %jmp/1 T_15.2, 6;
    %cmpi/u 8, 10, 5;
    %cmpi/u 8, 10, 5;
    %jmp/1 T_15.3, 6;
    %jmp/1 T_15.3, 6;
    %cmpi/u 8, 9, 5;
    %cmpi/u 8, 9, 5;
Line 2532... Line 2319...
T_15.14 ;
T_15.14 ;
    %jmp T_15.21;
    %jmp T_15.21;
T_15.15 ;
T_15.15 ;
    %jmp T_15.21;
    %jmp T_15.21;
T_15.16 ;
T_15.16 ;
    %load/v 8, v0x12f6ba0_0, 1;
    %load/v 8, v0xa5d570_0, 1;
    %set/v v0x12f6730_0, 8, 1;
    %set/v v0xa5d100_0, 8, 1;
    %jmp T_15.21;
    %jmp T_15.21;
T_15.17 ;
T_15.17 ;
    %jmp T_15.21;
    %jmp T_15.21;
T_15.18 ;
T_15.18 ;
    %jmp T_15.21;
    %jmp T_15.21;
T_15.19 ;
T_15.19 ;
    %load/v 8, v0x12f6ba0_0, 1;
    %load/v 8, v0xa5d570_0, 1;
    %set/v v0x12f6730_0, 8, 1;
    %set/v v0xa5d100_0, 8, 1;
    %load/v 8, v0x12f6d50_0, 1;
    %load/v 8, v0xa5d720_0, 1;
    %set/v v0x12f7010_0, 8, 1;
    %set/v v0xa5d9e0_0, 8, 1;
    %jmp T_15.21;
    %jmp T_15.21;
T_15.20 ;
T_15.20 ;
    %load/v 8, v0x12f6ba0_0, 1;
    %load/v 8, v0xa5d570_0, 1;
    %set/v v0x12f6730_0, 8, 1;
    %set/v v0xa5d100_0, 8, 1;
    %jmp T_15.21;
    %jmp T_15.21;
T_15.21 ;
T_15.21 ;
    %jmp T_15;
    %jmp T_15;
    .thread T_15, $push;
    .thread T_15, $push;
    .scope S_0x1110f90;
    .scope S_0x9e41d0;
T_16 ;
T_16 ;
    %wait E_0x1111130;
    %wait E_0x86f130;
    %load/v 8, v0x12f6910_0, 16;
    %load/v 8, v0xa5d2e0_0, 16;
    %set/v v0x12f6ae0_0, 8, 16;
    %set/v v0xa5d4b0_0, 8, 16;
    %load/v 8, v0x12f6460_0, 1;
    %load/v 8, v0xa5ce30_0, 1;
    %load/v 9, v0x12f6e90_0, 1;
    %load/v 9, v0xa5d860_0, 1;
    %load/v 10, v0x12f7010_0, 1;
    %load/v 10, v0xa5d9e0_0, 1;
    %load/v 11, v0x12f6730_0, 1;
    %load/v 11, v0xa5d100_0, 1;
    %set/v v0x12f5f50_0, 8, 4;
    %set/v v0xa5c920_0, 8, 4;
    %jmp T_16;
    %jmp T_16;
    .thread T_16, $push;
    .thread T_16, $push;
    .scope S_0x12e2060;
    .scope S_0x9e1e00;
T_17 ;
T_17 ;
    %wait E_0x12f57d0;
    %wait E_0xa5c1a0;
    %load/v 8, v0x12ff5d0_0, 16;
    %load/v 8, v0xa66220_0, 16;
    %ix/load 0, 16, 0;
    %ix/load 0, 16, 0;
    %assign/v0 v0x12ffdc0_0, 0, 8;
    %assign/v0 v0xa66a10_0, 0, 8;
    %load/v 8, v0x12ff690_0, 16;
    %load/v 8, v0xa662e0_0, 16;
    %ix/load 0, 16, 0;
    %ix/load 0, 16, 0;
    %assign/v0 v0x12ffe80_0, 0, 8;
    %assign/v0 v0xa66ad0_0, 0, 8;
    %load/v 8, v0x12ff9f0_0, 5;
    %load/v 8, v0xa66640_0, 5;
    %ix/load 0, 5, 0;
    %ix/load 0, 5, 0;
    %assign/v0 v0x12fff90_0, 0, 8;
    %assign/v0 v0xa66be0_0, 0, 8;
    %jmp T_17;
    %jmp T_17;
    .thread T_17;
    .thread T_17;
    .scope S_0x12e2060;
    .scope S_0x9e1e00;
T_18 ;
T_18 ;
    %wait E_0x11b0a50;
    %wait E_0x90c9a0;
    %load/v 8, v0x13000a0_0, 1;
    %load/v 8, v0xa66cf0_0, 1;
    %jmp/0xz  T_18.0, 8;
    %jmp/0xz  T_18.0, 8;
    %load/v 8, v0x12ffab0_0, 16;
    %load/v 8, v0xa66700_0, 16;
    %set/v v0x12ffd00_0, 8, 16;
    %set/v v0xa66950_0, 8, 16;
    %load/v 8, v0x12ff770_0, 4;
    %load/v 8, v0xa663c0_0, 4;
    %ix/load 1, 4, 0;
    %ix/load 1, 4, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_18.2, 4;
    %jmp/1 T_18.2, 4;
    %load/x1p 16, v0x12ff3c0_0, 4;
    %load/x1p 16, v0xa66010_0, 4;
    %jmp T_18.3;
    %jmp T_18.3;
T_18.2 ;
T_18.2 ;
    %mov 16, 2, 4;
    %mov 16, 2, 4;
T_18.3 ;
T_18.3 ;
    %mov 12, 16, 4; Move signal select into place
    %mov 12, 16, 4; Move signal select into place
    %set/v v0x12ff4f0_0, 8, 8;
    %set/v v0xa66140_0, 8, 8;
    %jmp T_18.1;
    %jmp T_18.1;
T_18.0 ;
T_18.0 ;
    %load/v 8, v0x12ffc30_0, 8;
    %load/v 8, v0xa66880_0, 8;
    %mov 16, 0, 8;
    %mov 16, 0, 8;
    %set/v v0x12ffd00_0, 8, 16;
    %set/v v0xa66950_0, 8, 16;
    %load/v 8, v0x12ff880_0, 8;
    %load/v 8, v0xa664d0_0, 8;
    %set/v v0x12ff4f0_0, 8, 8;
    %set/v v0xa66140_0, 8, 8;
T_18.1 ;
T_18.1 ;
    %jmp T_18;
    %jmp T_18;
    .thread T_18, $push;
    .thread T_18, $push;
    .scope S_0x1304620;
    .scope S_0xa6b270;
T_19 ;
T_19 ;
    %wait E_0x1304a10;
    %wait E_0xa6b660;
    %ix/load 1, 5, 0;
    %ix/load 1, 5, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_19.0, 4;
    %jmp/1 T_19.0, 4;
    %load/x1p 8, v0x13050c0_0, 2;
    %load/x1p 8, v0xa6bd10_0, 2;
    %jmp T_19.1;
    %jmp T_19.1;
T_19.0 ;
T_19.0 ;
    %mov 8, 2, 2;
    %mov 8, 2, 2;
T_19.1 ;
T_19.1 ;
; Save base=8 wid=2 in lookaside.
; Save base=8 wid=2 in lookaside.
Line 2628... Line 2415...
    %jmp/1 T_19.4, 6;
    %jmp/1 T_19.4, 6;
    %cmpi/u 8, 3, 2;
    %cmpi/u 8, 3, 2;
    %jmp/1 T_19.5, 6;
    %jmp/1 T_19.5, 6;
    %jmp T_19.6;
    %jmp T_19.6;
T_19.2 ;
T_19.2 ;
    %load/v 8, v0x1305180_0, 16;
    %load/v 8, v0xa6bdd0_0, 16;
    %set/v v0x1304c30_0, 8, 16;
    %set/v v0xa6b880_0, 8, 16;
    %jmp T_19.6;
    %jmp T_19.6;
T_19.3 ;
T_19.3 ;
    %load/v 8, v0x13052d0_0, 16;
    %load/v 8, v0xa6bf20_0, 16;
    %set/v v0x1304c30_0, 8, 16;
    %set/v v0xa6b880_0, 8, 16;
    %jmp T_19.6;
    %jmp T_19.6;
T_19.4 ;
T_19.4 ;
    %load/v 8, v0x1305650_0, 16;
    %load/v 8, v0xa6c2a0_0, 16;
    %set/v v0x1304c30_0, 8, 16;
    %set/v v0xa6b880_0, 8, 16;
    %jmp T_19.6;
    %jmp T_19.6;
T_19.5 ;
T_19.5 ;
    %load/v 8, v0x1305570_0, 16;
    %load/v 8, v0xa6c1c0_0, 16;
    %set/v v0x1304c30_0, 8, 16;
    %set/v v0xa6b880_0, 8, 16;
    %jmp T_19.6;
    %jmp T_19.6;
T_19.6 ;
T_19.6 ;
    %jmp T_19;
    %jmp T_19;
    .thread T_19, $push;
    .thread T_19, $push;
    .scope S_0x1304620;
    .scope S_0xa6b270;
T_20 ;
T_20 ;
    %wait E_0x13049b0;
    %wait E_0xa6b600;
    %load/v 8, v0x13050c0_0, 2; Only need 2 of 8 bits
    %load/v 8, v0xa6bd10_0, 2; Only need 2 of 8 bits
; Save base=8 wid=2 in lookaside.
; Save base=8 wid=2 in lookaside.
    %cmpi/u 8, 0, 2;
    %cmpi/u 8, 0, 2;
    %jmp/1 T_20.0, 6;
    %jmp/1 T_20.0, 6;
    %cmpi/u 8, 1, 2;
    %cmpi/u 8, 1, 2;
    %jmp/1 T_20.1, 6;
    %jmp/1 T_20.1, 6;
Line 2662... Line 2449...
    %cmpi/u 8, 3, 2;
    %cmpi/u 8, 3, 2;
    %jmp/1 T_20.3, 6;
    %jmp/1 T_20.3, 6;
    %jmp T_20.4;
    %jmp T_20.4;
T_20.0 ;
T_20.0 ;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %load/vp0 8, v0x1304c30_0, 16;
    %load/vp0 8, v0xa6b880_0, 16;
    %set/v v0x1304cf0_0, 8, 16;
    %set/v v0xa6b940_0, 8, 16;
    %jmp T_20.4;
    %jmp T_20.4;
T_20.1 ;
T_20.1 ;
    %ix/load 0, 2, 0;
    %ix/load 0, 2, 0;
    %load/vp0 8, v0x1304c30_0, 16;
    %load/vp0 8, v0xa6b880_0, 16;
    %set/v v0x1304cf0_0, 8, 16;
    %set/v v0xa6b940_0, 8, 16;
    %jmp T_20.4;
    %jmp T_20.4;
T_20.2 ;
T_20.2 ;
    %load/v 8, v0x1304c30_0, 16;
    %load/v 8, v0xa6b880_0, 16;
    %subi 8, 1, 16;
    %subi 8, 1, 16;
    %set/v v0x1304cf0_0, 8, 16;
    %set/v v0xa6b940_0, 8, 16;
    %jmp T_20.4;
    %jmp T_20.4;
T_20.3 ;
T_20.3 ;
    %load/v 8, v0x1304c30_0, 16;
    %load/v 8, v0xa6b880_0, 16;
    %subi 8, 2, 16;
    %subi 8, 2, 16;
    %set/v v0x1304cf0_0, 8, 16;
    %set/v v0xa6b940_0, 8, 16;
    %jmp T_20.4;
    %jmp T_20.4;
T_20.4 ;
T_20.4 ;
    %jmp T_20;
    %jmp T_20;
    .thread T_20, $push;
    .thread T_20, $push;
    .scope S_0x1304620;
    .scope S_0xa6b270;
T_21 ;
T_21 ;
    %wait E_0x1304910;
    %wait E_0xa6b560;
    %movi 8, 65261, 16;
    %movi 8, 65261, 16;
    %set/v v0x1304f00_0, 8, 16;
    %set/v v0xa6bb50_0, 8, 16;
    %load/v 8, v0x13050c0_0, 8;
    %load/v 8, v0xa6bd10_0, 8;
    %mov 16, 2, 4;
    %mov 16, 2, 4;
    %movi 20, 0, 1;
    %movi 20, 0, 1;
    %mov 21, 2, 2;
    %mov 21, 2, 2;
    %movi 23, 0, 1;
    %movi 23, 0, 1;
    %cmp/x 8, 16, 8;
    %cmp/x 8, 16, 8;
Line 2763... Line 2550...
    %movi 127, 1, 1;
    %movi 127, 1, 1;
    %cmp/x 8, 120, 8;
    %cmp/x 8, 120, 8;
    %jmp/1 T_21.13, 4;
    %jmp/1 T_21.13, 4;
    %jmp T_21.14;
    %jmp T_21.14;
T_21.0 ;
T_21.0 ;
    %load/v 8, v0x1304c30_0, 16;
    %load/v 8, v0xa6b880_0, 16;
    %load/v 24, v0x13050c0_0, 4; Select 4 out of 8 bits
    %load/v 24, v0xa6bd10_0, 4; Select 4 out of 8 bits
    %mov 28, 0, 12;
    %mov 28, 0, 12;
    %add 8, 24, 16;
    %add 8, 24, 16;
    %set/v v0x1304f00_0, 8, 16;
    %set/v v0xa6bb50_0, 8, 16;
    %jmp T_21.14;
    %jmp T_21.14;
T_21.1 ;
T_21.1 ;
    %load/v 8, v0x1304c30_0, 16;
    %load/v 8, v0xa6b880_0, 16;
    %load/v 24, v0x13050c0_0, 4; Select 4 out of 8 bits
    %load/v 24, v0xa6bd10_0, 4; Select 4 out of 8 bits
    %mov 28, 1, 12;
    %mov 28, 1, 12;
    %add 8, 24, 16;
    %add 8, 24, 16;
    %set/v v0x1304f00_0, 8, 16;
    %set/v v0xa6bb50_0, 8, 16;
    %jmp T_21.14;
    %jmp T_21.14;
T_21.2 ;
T_21.2 ;
    %load/v 8, v0x1304c30_0, 16;
    %load/v 8, v0xa6b880_0, 16;
    %set/v v0x1304f00_0, 8, 16;
    %set/v v0xa6bb50_0, 8, 16;
    %jmp T_21.14;
    %jmp T_21.14;
T_21.3 ;
T_21.3 ;
    %load/v 8, v0x1304c30_0, 16;
    %load/v 8, v0xa6b880_0, 16;
    %set/v v0x1304f00_0, 8, 16;
    %set/v v0xa6bb50_0, 8, 16;
    %jmp T_21.14;
    %jmp T_21.14;
T_21.4 ;
T_21.4 ;
    %load/v 8, v0x1304c30_0, 16;
    %load/v 8, v0xa6b880_0, 16;
    %set/v v0x1304f00_0, 8, 16;
    %set/v v0xa6bb50_0, 8, 16;
    %jmp T_21.14;
    %jmp T_21.14;
T_21.5 ;
T_21.5 ;
    %load/v 8, v0x1304cf0_0, 16;
    %load/v 8, v0xa6b940_0, 16;
    %set/v v0x1304f00_0, 8, 16;
    %set/v v0xa6bb50_0, 8, 16;
    %jmp T_21.14;
    %jmp T_21.14;
T_21.6 ;
T_21.6 ;
    %load/v 8, v0x1304cf0_0, 16;
    %load/v 8, v0xa6b940_0, 16;
    %set/v v0x1304f00_0, 8, 16;
    %set/v v0xa6bb50_0, 8, 16;
    %jmp T_21.14;
    %jmp T_21.14;
T_21.7 ;
T_21.7 ;
    %load/v 8, v0x1304c30_0, 16;
    %load/v 8, v0xa6b880_0, 16;
    %load/v 24, v0x1304b50_0, 8;
    %load/v 24, v0xa6b7a0_0, 8;
    %ix/load 1, 7, 0;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_21.15, 4;
    %jmp/1 T_21.15, 4;
    %load/x1p 48, v0x1304b50_0, 1;
    %load/x1p 48, v0xa6b7a0_0, 1;
    %jmp T_21.16;
    %jmp T_21.16;
T_21.15 ;
T_21.15 ;
    %mov 48, 2, 1;
    %mov 48, 2, 1;
T_21.16 ;
T_21.16 ;
    %mov 40, 48, 1; Move signal select into place
    %mov 40, 48, 1; Move signal select into place
Line 2817... Line 2604...
    %mov 43, 40, 1; Repetition 4
    %mov 43, 40, 1; Repetition 4
    %mov 42, 40, 1; Repetition 3
    %mov 42, 40, 1; Repetition 3
    %mov 41, 40, 1; Repetition 2
    %mov 41, 40, 1; Repetition 2
    %mov 32, 40, 8;
    %mov 32, 40, 8;
    %add 8, 24, 16;
    %add 8, 24, 16;
    %set/v v0x1304f00_0, 8, 16;
    %set/v v0xa6bb50_0, 8, 16;
    %jmp T_21.14;
    %jmp T_21.14;
T_21.8 ;
T_21.8 ;
    %load/v 8, v0x1304c30_0, 16;
    %load/v 8, v0xa6b880_0, 16;
    %load/v 24, v0x1304a50_0, 8;
    %load/v 24, v0xa6b6a0_0, 8;
    %ix/load 1, 7, 0;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_21.17, 4;
    %jmp/1 T_21.17, 4;
    %load/x1p 48, v0x1304a50_0, 1;
    %load/x1p 48, v0xa6b6a0_0, 1;
    %jmp T_21.18;
    %jmp T_21.18;
T_21.17 ;
T_21.17 ;
    %mov 48, 2, 1;
    %mov 48, 2, 1;
T_21.18 ;
T_21.18 ;
    %mov 40, 48, 1; Move signal select into place
    %mov 40, 48, 1; Move signal select into place
Line 2840... Line 2627...
    %mov 43, 40, 1; Repetition 4
    %mov 43, 40, 1; Repetition 4
    %mov 42, 40, 1; Repetition 3
    %mov 42, 40, 1; Repetition 3
    %mov 41, 40, 1; Repetition 2
    %mov 41, 40, 1; Repetition 2
    %mov 32, 40, 8;
    %mov 32, 40, 8;
    %add 8, 24, 16;
    %add 8, 24, 16;
    %set/v v0x1304f00_0, 8, 16;
    %set/v v0xa6bb50_0, 8, 16;
    %jmp T_21.14;
    %jmp T_21.14;
T_21.9 ;
T_21.9 ;
    %load/v 8, v0x1304c30_0, 16;
    %load/v 8, v0xa6b880_0, 16;
    %load/v 24, v0x1304b50_0, 8;
    %load/v 24, v0xa6b7a0_0, 8;
    %load/v 32, v0x1304a50_0, 8;
    %load/v 32, v0xa6b6a0_0, 8;
    %add 8, 24, 16;
    %add 8, 24, 16;
    %set/v v0x1304f00_0, 8, 16;
    %set/v v0xa6bb50_0, 8, 16;
    %jmp T_21.14;
    %jmp T_21.14;
T_21.10 ;
T_21.10 ;
    %load/v 8, v0x1304c30_0, 16;
    %load/v 8, v0xa6b880_0, 16;
    %load/v 24, v0x13053b0_0, 8; Select 8 out of 16 bits
    %load/v 24, v0xa6c000_0, 8; Select 8 out of 16 bits
    %ix/load 1, 7, 0;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_21.22, 4;
    %jmp/1 T_21.22, 4;
    %load/x1p 40, v0x13053b0_0, 1;
    %load/x1p 40, v0xa6c000_0, 1;
    %jmp T_21.23;
    %jmp T_21.23;
T_21.22 ;
T_21.22 ;
    %mov 40, 2, 1;
    %mov 40, 2, 1;
T_21.23 ;
T_21.23 ;
; Save base=40 wid=1 in lookaside.
; Save base=40 wid=1 in lookaside.
Line 2874... Line 2661...
T_21.20 ;
T_21.20 ;
    %mov 41, 0, 8; Return false value
    %mov 41, 0, 8; Return false value
T_21.21 ;
T_21.21 ;
    %mov 32, 41, 8;
    %mov 32, 41, 8;
    %add 8, 24, 16;
    %add 8, 24, 16;
    %set/v v0x1304f00_0, 8, 16;
    %set/v v0xa6bb50_0, 8, 16;
    %jmp T_21.14;
    %jmp T_21.14;
T_21.11 ;
T_21.11 ;
    %load/v 8, v0x1304c30_0, 16;
    %load/v 8, v0xa6b880_0, 16;
    %load/v 24, v0x13053b0_0, 16;
    %load/v 24, v0xa6c000_0, 16;
    %add 8, 24, 16;
    %add 8, 24, 16;
    %set/v v0x1304f00_0, 8, 16;
    %set/v v0xa6bb50_0, 8, 16;
    %jmp T_21.14;
    %jmp T_21.14;
T_21.12 ;
T_21.12 ;
    %load/v 8, v0x1305490_0, 16;
    %load/v 8, v0xa6c0e0_0, 16;
    %load/v 24, v0x13053b0_0, 8; Select 8 out of 16 bits
    %load/v 24, v0xa6c000_0, 8; Select 8 out of 16 bits
    %ix/load 1, 7, 0;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_21.27, 4;
    %jmp/1 T_21.27, 4;
    %load/x1p 40, v0x13053b0_0, 1;
    %load/x1p 40, v0xa6c000_0, 1;
    %jmp T_21.28;
    %jmp T_21.28;
T_21.27 ;
T_21.27 ;
    %mov 40, 2, 1;
    %mov 40, 2, 1;
T_21.28 ;
T_21.28 ;
; Save base=40 wid=1 in lookaside.
; Save base=40 wid=1 in lookaside.
Line 2907... Line 2694...
T_21.25 ;
T_21.25 ;
    %mov 41, 0, 8; Return false value
    %mov 41, 0, 8; Return false value
T_21.26 ;
T_21.26 ;
    %mov 32, 41, 8;
    %mov 32, 41, 8;
    %add 8, 24, 16;
    %add 8, 24, 16;
    %set/v v0x1304f00_0, 8, 16;
    %set/v v0xa6bb50_0, 8, 16;
    %jmp T_21.14;
    %jmp T_21.14;
T_21.13 ;
T_21.13 ;
    %load/v 8, v0x1305490_0, 16;
    %load/v 8, v0xa6c0e0_0, 16;
    %load/v 24, v0x13053b0_0, 16;
    %load/v 24, v0xa6c000_0, 16;
    %add 8, 24, 16;
    %add 8, 24, 16;
    %set/v v0x1304f00_0, 8, 16;
    %set/v v0xa6bb50_0, 8, 16;
    %jmp T_21.14;
    %jmp T_21.14;
T_21.14 ;
T_21.14 ;
    %jmp T_21;
    %jmp T_21;
    .thread T_21, $push;
    .thread T_21, $push;
    .scope S_0x1303ef0;
    .scope S_0xa6ab40;
T_22 ;
T_22 ;
    %wait E_0x1304550;
    %wait E_0xa6b1a0;
    %load/v 8, v0x1307620_0, 4;
    %load/v 8, v0xa6e270_0, 4;
    %cmpi/u 8, 8, 4;
    %cmpi/u 8, 8, 4;
    %jmp/1 T_22.0, 6;
    %jmp/1 T_22.0, 6;
    %cmpi/u 8, 9, 4;
    %cmpi/u 8, 9, 4;
    %jmp/1 T_22.1, 6;
    %jmp/1 T_22.1, 6;
    %cmpi/u 8, 0, 4;
    %cmpi/u 8, 0, 4;
Line 2942... Line 2729...
    %jmp/1 T_22.7, 6;
    %jmp/1 T_22.7, 6;
    %cmpi/u 8, 11, 4;
    %cmpi/u 8, 11, 4;
    %jmp/1 T_22.8, 6;
    %jmp/1 T_22.8, 6;
    %cmpi/u 8, 10, 4;
    %cmpi/u 8, 10, 4;
    %jmp/1 T_22.9, 6;
    %jmp/1 T_22.9, 6;
    %set/v v0x13076c0_0, 1, 16;
    %set/v v0xa6e310_0, 1, 16;
    %jmp T_22.11;
    %jmp T_22.11;
T_22.0 ;
T_22.0 ;
    %load/v 8, v0x13058f0_0, 8;
    %load/v 8, v0xa6c540_0, 8;
    %mov 16, 1, 8;
    %mov 16, 1, 8;
    %set/v v0x13076c0_0, 8, 16;
    %set/v v0xa6e310_0, 8, 16;
    %jmp T_22.11;
    %jmp T_22.11;
T_22.1 ;
T_22.1 ;
    %load/v 8, v0x13059d0_0, 8;
    %load/v 8, v0xa6c620_0, 8;
    %mov 16, 1, 8;
    %mov 16, 1, 8;
    %set/v v0x13076c0_0, 8, 16;
    %set/v v0xa6e310_0, 8, 16;
    %jmp T_22.11;
    %jmp T_22.11;
T_22.2 ;
T_22.2 ;
    %load/v 8, v0x13059d0_0, 8;
    %load/v 8, v0xa6c620_0, 8;
    %load/v 16, v0x13058f0_0, 8;
    %load/v 16, v0xa6c540_0, 8;
    %set/v v0x13076c0_0, 8, 16;
    %set/v v0xa6e310_0, 8, 16;
    %jmp T_22.11;
    %jmp T_22.11;
T_22.3 ;
T_22.3 ;
    %load/v 8, v0x1305cd0_0, 16;
    %load/v 8, v0xa6c920_0, 16;
    %set/v v0x13076c0_0, 8, 16;
    %set/v v0xa6e310_0, 8, 16;
    %jmp T_22.11;
    %jmp T_22.11;
T_22.4 ;
T_22.4 ;
    %load/v 8, v0x1305d90_0, 16;
    %load/v 8, v0xa6c9e0_0, 16;
    %set/v v0x13076c0_0, 8, 16;
    %set/v v0xa6e310_0, 8, 16;
    %jmp T_22.11;
    %jmp T_22.11;
T_22.5 ;
T_22.5 ;
    %load/v 8, v0x1306090_0, 16;
    %load/v 8, v0xa6cce0_0, 16;
    %set/v v0x13076c0_0, 8, 16;
    %set/v v0xa6e310_0, 8, 16;
    %jmp T_22.11;
    %jmp T_22.11;
T_22.6 ;
T_22.6 ;
    %load/v 8, v0x1305f30_0, 16;
    %load/v 8, v0xa6cb80_0, 16;
    %set/v v0x13076c0_0, 8, 16;
    %set/v v0xa6e310_0, 8, 16;
    %jmp T_22.11;
    %jmp T_22.11;
T_22.7 ;
T_22.7 ;
    %load/v 8, v0x1305e60_0, 16;
    %load/v 8, v0xa6cab0_0, 16;
    %set/v v0x13076c0_0, 8, 16;
    %set/v v0xa6e310_0, 8, 16;
    %jmp T_22.11;
    %jmp T_22.11;
T_22.8 ;
T_22.8 ;
    %load/v 8, v0x1305c10_0, 8;
    %load/v 8, v0xa6c860_0, 8;
    %load/v 16, v0x1305c10_0, 8;
    %load/v 16, v0xa6c860_0, 8;
    %set/v v0x13076c0_0, 8, 16;
    %set/v v0xa6e310_0, 8, 16;
    %jmp T_22.11;
    %jmp T_22.11;
T_22.9 ;
T_22.9 ;
    %load/v 24, v0x13064c0_0, 1;
    %load/v 24, v0xa6d110_0, 1;
    %load/v 25, v0x1308020_0, 1;
    %load/v 25, v0xa6ec70_0, 1;
    %load/v 26, v0x1308620_0, 1;
    %load/v 26, v0xa6f270_0, 1;
    %load/v 27, v0x1307370_0, 1;
    %load/v 27, v0xa6dfc0_0, 1;
    %load/v 28, v0x13070f0_0, 1;
    %load/v 28, v0xa6dd40_0, 1;
    %load/v 29, v0x1306eb0_0, 1;
    %load/v 29, v0xa6db00_0, 1;
    %load/v 30, v0x1306df0_0, 1;
    %load/v 30, v0xa6da40_0, 1;
    %load/v 31, v0x1306c50_0, 1;
    %load/v 31, v0xa6d8a0_0, 1;
    %mov 8, 24, 8;
    %mov 8, 24, 8;
    %load/v 24, v0x13064c0_0, 1;
    %load/v 24, v0xa6d110_0, 1;
    %load/v 25, v0x1308020_0, 1;
    %load/v 25, v0xa6ec70_0, 1;
    %load/v 26, v0x1308620_0, 1;
    %load/v 26, v0xa6f270_0, 1;
    %load/v 27, v0x1307370_0, 1;
    %load/v 27, v0xa6dfc0_0, 1;
    %load/v 28, v0x13070f0_0, 1;
    %load/v 28, v0xa6dd40_0, 1;
    %load/v 29, v0x1306eb0_0, 1;
    %load/v 29, v0xa6db00_0, 1;
    %load/v 30, v0x1306df0_0, 1;
    %load/v 30, v0xa6da40_0, 1;
    %load/v 31, v0x1306c50_0, 1;
    %load/v 31, v0xa6d8a0_0, 1;
    %mov 16, 24, 8;
    %mov 16, 24, 8;
    %set/v v0x13076c0_0, 8, 16;
    %set/v v0xa6e310_0, 8, 16;
    %jmp T_22.11;
    %jmp T_22.11;
T_22.11 ;
T_22.11 ;
    %jmp T_22;
    %jmp T_22;
    .thread T_22, $push;
    .thread T_22, $push;
    .scope S_0x1303ef0;
    .scope S_0xa6ab40;
T_23 ;
T_23 ;
    %wait E_0x1304460;
    %wait E_0xa6b0b0;
    %load/v 8, v0x13077a0_0, 4;
    %load/v 8, v0xa6e3f0_0, 4;
    %cmpi/u 8, 8, 4;
    %cmpi/u 8, 8, 4;
    %jmp/1 T_23.0, 6;
    %jmp/1 T_23.0, 6;
    %cmpi/u 8, 9, 4;
    %cmpi/u 8, 9, 4;
    %jmp/1 T_23.1, 6;
    %jmp/1 T_23.1, 6;
    %cmpi/u 8, 0, 4;
    %cmpi/u 8, 0, 4;
Line 3032... Line 2819...
    %jmp/1 T_23.7, 6;
    %jmp/1 T_23.7, 6;
    %cmpi/u 8, 11, 4;
    %cmpi/u 8, 11, 4;
    %jmp/1 T_23.8, 6;
    %jmp/1 T_23.8, 6;
    %cmpi/u 8, 10, 4;
    %cmpi/u 8, 10, 4;
    %jmp/1 T_23.9, 6;
    %jmp/1 T_23.9, 6;
    %set/v v0x1307890_0, 1, 16;
    %set/v v0xa6e4e0_0, 1, 16;
    %jmp T_23.11;
    %jmp T_23.11;
T_23.0 ;
T_23.0 ;
    %load/v 8, v0x13058f0_0, 8;
    %load/v 8, v0xa6c540_0, 8;
    %mov 16, 1, 8;
    %mov 16, 1, 8;
    %set/v v0x1307890_0, 8, 16;
    %set/v v0xa6e4e0_0, 8, 16;
    %jmp T_23.11;
    %jmp T_23.11;
T_23.1 ;
T_23.1 ;
    %load/v 8, v0x13059d0_0, 8;
    %load/v 8, v0xa6c620_0, 8;
    %mov 16, 1, 8;
    %mov 16, 1, 8;
    %set/v v0x1307890_0, 8, 16;
    %set/v v0xa6e4e0_0, 8, 16;
    %jmp T_23.11;
    %jmp T_23.11;
T_23.2 ;
T_23.2 ;
    %load/v 8, v0x13059d0_0, 8;
    %load/v 8, v0xa6c620_0, 8;
    %load/v 16, v0x13058f0_0, 8;
    %load/v 16, v0xa6c540_0, 8;
    %set/v v0x1307890_0, 8, 16;
    %set/v v0xa6e4e0_0, 8, 16;
    %jmp T_23.11;
    %jmp T_23.11;
T_23.3 ;
T_23.3 ;
    %load/v 8, v0x1305cd0_0, 16;
    %load/v 8, v0xa6c920_0, 16;
    %set/v v0x1307890_0, 8, 16;
    %set/v v0xa6e4e0_0, 8, 16;
    %jmp T_23.11;
    %jmp T_23.11;
T_23.4 ;
T_23.4 ;
    %load/v 8, v0x1305d90_0, 16;
    %load/v 8, v0xa6c9e0_0, 16;
    %set/v v0x1307890_0, 8, 16;
    %set/v v0xa6e4e0_0, 8, 16;
    %jmp T_23.11;
    %jmp T_23.11;
T_23.5 ;
T_23.5 ;
    %load/v 8, v0x1306090_0, 16;
    %load/v 8, v0xa6cce0_0, 16;
    %set/v v0x1307890_0, 8, 16;
    %set/v v0xa6e4e0_0, 8, 16;
    %jmp T_23.11;
    %jmp T_23.11;
T_23.6 ;
T_23.6 ;
    %load/v 8, v0x1305f30_0, 16;
    %load/v 8, v0xa6cb80_0, 16;
    %set/v v0x1307890_0, 8, 16;
    %set/v v0xa6e4e0_0, 8, 16;
    %jmp T_23.11;
    %jmp T_23.11;
T_23.7 ;
T_23.7 ;
    %load/v 8, v0x1305e60_0, 16;
    %load/v 8, v0xa6cab0_0, 16;
    %set/v v0x1307890_0, 8, 16;
    %set/v v0xa6e4e0_0, 8, 16;
    %jmp T_23.11;
    %jmp T_23.11;
T_23.8 ;
T_23.8 ;
    %load/v 8, v0x1305c10_0, 8;
    %load/v 8, v0xa6c860_0, 8;
    %load/v 16, v0x1305c10_0, 8;
    %load/v 16, v0xa6c860_0, 8;
    %set/v v0x1307890_0, 8, 16;
    %set/v v0xa6e4e0_0, 8, 16;
    %jmp T_23.11;
    %jmp T_23.11;
T_23.9 ;
T_23.9 ;
    %load/v 24, v0x13064c0_0, 1;
    %load/v 24, v0xa6d110_0, 1;
    %load/v 25, v0x1308020_0, 1;
    %load/v 25, v0xa6ec70_0, 1;
    %load/v 26, v0x1308620_0, 1;
    %load/v 26, v0xa6f270_0, 1;
    %load/v 27, v0x1307370_0, 1;
    %load/v 27, v0xa6dfc0_0, 1;
    %load/v 28, v0x13070f0_0, 1;
    %load/v 28, v0xa6dd40_0, 1;
    %load/v 29, v0x1306eb0_0, 1;
    %load/v 29, v0xa6db00_0, 1;
    %load/v 30, v0x1306df0_0, 1;
    %load/v 30, v0xa6da40_0, 1;
    %load/v 31, v0x1306c50_0, 1;
    %load/v 31, v0xa6d8a0_0, 1;
    %mov 8, 24, 8;
    %mov 8, 24, 8;
    %load/v 24, v0x13064c0_0, 1;
    %load/v 24, v0xa6d110_0, 1;
    %load/v 25, v0x1308020_0, 1;
    %load/v 25, v0xa6ec70_0, 1;
    %load/v 26, v0x1308620_0, 1;
    %load/v 26, v0xa6f270_0, 1;
    %load/v 27, v0x1307370_0, 1;
    %load/v 27, v0xa6dfc0_0, 1;
    %load/v 28, v0x13070f0_0, 1;
    %load/v 28, v0xa6dd40_0, 1;
    %load/v 29, v0x1306eb0_0, 1;
    %load/v 29, v0xa6db00_0, 1;
    %load/v 30, v0x1306df0_0, 1;
    %load/v 30, v0xa6da40_0, 1;
    %load/v 31, v0x1306c50_0, 1;
    %load/v 31, v0xa6d8a0_0, 1;
    %mov 16, 24, 8;
    %mov 16, 24, 8;
    %set/v v0x1307890_0, 8, 16;
    %set/v v0xa6e4e0_0, 8, 16;
    %jmp T_23.11;
    %jmp T_23.11;
T_23.11 ;
T_23.11 ;
    %jmp T_23;
    %jmp T_23;
    .thread T_23, $push;
    .thread T_23, $push;
    .scope S_0x1303ef0;
    .scope S_0xa6ab40;
T_24 ;
T_24 ;
    %wait E_0x12f57d0;
    %wait E_0xa5c1a0;
    %load/v 8, v0x13080c0_0, 1;
    %load/v 8, v0xa6ed10_0, 1;
    %load/v 9, v0x1306f70_0, 1;
    %load/v 9, v0xa6dbc0_0, 1;
    %or 8, 9, 1;
 
    %load/v 9, v0x1308240_0, 1;
 
    %or 8, 9, 1;
    %or 8, 9, 1;
    %jmp/0xz  T_24.0, 8;
    %jmp/0xz  T_24.0, 8;
    %load/v 8, v0x1307db0_0, 4;
    %load/v 8, v0xa6ea00_0, 4;
    %pad 12, 0, 2;
    %pad 12, 0, 2;
    %cmpi/u 8, 0, 6;
    %cmpi/u 8, 0, 6;
    %jmp/1 T_24.2, 6;
    %jmp/1 T_24.2, 6;
    %cmpi/u 8, 1, 6;
    %cmpi/u 8, 1, 6;
    %jmp/1 T_24.3, 6;
    %jmp/1 T_24.3, 6;
Line 3131... Line 2916...
    %jmp/1 T_24.10, 6;
    %jmp/1 T_24.10, 6;
    %cmpi/u 8, 11, 6;
    %cmpi/u 8, 11, 6;
    %jmp/1 T_24.11, 6;
    %jmp/1 T_24.11, 6;
    %jmp T_24.12;
    %jmp T_24.12;
T_24.2 ;
T_24.2 ;
    %load/v 8, v0x1307cd0_0, 16;
    %load/v 8, v0xa6e920_0, 16;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v0x13059d0_0, 0, 8;
    %assign/v0 v0xa6c620_0, 0, 8;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v0x13058f0_0, 0, 16;
    %assign/v0 v0xa6c540_0, 0, 16;
    %jmp T_24.12;
    %jmp T_24.12;
T_24.3 ;
T_24.3 ;
    %load/v 8, v0x1307cd0_0, 16;
    %load/v 8, v0xa6e920_0, 16;
    %ix/load 0, 16, 0;
    %ix/load 0, 16, 0;
    %assign/v0 v0x1305cd0_0, 0, 8;
    %assign/v0 v0xa6c920_0, 0, 8;
    %jmp T_24.12;
    %jmp T_24.12;
T_24.4 ;
T_24.4 ;
    %load/v 8, v0x1307cd0_0, 16;
    %load/v 8, v0xa6e920_0, 16;
    %ix/load 0, 16, 0;
    %ix/load 0, 16, 0;
    %assign/v0 v0x1305d90_0, 0, 8;
    %assign/v0 v0xa6c9e0_0, 0, 8;
    %jmp T_24.12;
    %jmp T_24.12;
T_24.5 ;
T_24.5 ;
    %load/v 8, v0x1307cd0_0, 16;
    %load/v 8, v0xa6e920_0, 16;
    %ix/load 0, 16, 0;
    %ix/load 0, 16, 0;
    %assign/v0 v0x1306090_0, 0, 8;
    %assign/v0 v0xa6cce0_0, 0, 8;
    %jmp T_24.12;
    %jmp T_24.12;
T_24.6 ;
T_24.6 ;
    %load/v 8, v0x1307cd0_0, 16;
    %load/v 8, v0xa6e920_0, 16;
    %ix/load 0, 16, 0;
    %ix/load 0, 16, 0;
    %assign/v0 v0x1305f30_0, 0, 8;
    %assign/v0 v0xa6cb80_0, 0, 8;
    %jmp T_24.12;
    %jmp T_24.12;
T_24.7 ;
T_24.7 ;
    %load/v 8, v0x1307cd0_0, 16;
    %load/v 8, v0xa6e920_0, 16;
    %ix/load 0, 16, 0;
    %ix/load 0, 16, 0;
    %assign/v0 v0x1305e60_0, 0, 8;
    %assign/v0 v0xa6cab0_0, 0, 8;
    %jmp T_24.12;
    %jmp T_24.12;
T_24.8 ;
T_24.8 ;
    %load/v 8, v0x1307cd0_0, 8; Only need 8 of 16 bits
    %load/v 8, v0xa6e920_0, 8; Only need 8 of 16 bits
; Save base=8 wid=8 in lookaside.
; Save base=8 wid=8 in lookaside.
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v0x13058f0_0, 0, 8;
    %assign/v0 v0xa6c540_0, 0, 8;
    %jmp T_24.12;
    %jmp T_24.12;
T_24.9 ;
T_24.9 ;
    %load/v 8, v0x1307cd0_0, 8; Only need 8 of 16 bits
    %load/v 8, v0xa6e920_0, 8; Only need 8 of 16 bits
; Save base=8 wid=8 in lookaside.
; Save base=8 wid=8 in lookaside.
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v0x13059d0_0, 0, 8;
    %assign/v0 v0xa6c620_0, 0, 8;
    %jmp T_24.12;
    %jmp T_24.12;
T_24.10 ;
T_24.10 ;
    %load/v 8, v0x1307cd0_0, 8; Only need 8 of 16 bits
    %load/v 8, v0xa6e920_0, 8; Only need 8 of 16 bits
; Save base=8 wid=8 in lookaside.
; Save base=8 wid=8 in lookaside.
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x13064c0_0, 0, 8;
    %assign/v0 v0xa6d110_0, 0, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x1308020_0, 0, 9;
    %assign/v0 v0xa6ec70_0, 0, 9;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x1308620_0, 0, 10;
    %assign/v0 v0xa6f270_0, 0, 10;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x1307370_0, 0, 11;
    %assign/v0 v0xa6dfc0_0, 0, 11;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x13070f0_0, 0, 12;
    %assign/v0 v0xa6dd40_0, 0, 12;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x1306eb0_0, 0, 13;
    %assign/v0 v0xa6db00_0, 0, 13;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x1306df0_0, 0, 14;
    %assign/v0 v0xa6da40_0, 0, 14;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x1306c50_0, 0, 15;
    %assign/v0 v0xa6d8a0_0, 0, 15;
    %jmp T_24.12;
    %jmp T_24.12;
T_24.11 ;
T_24.11 ;
    %load/v 8, v0x1307cd0_0, 8; Only need 8 of 16 bits
    %load/v 8, v0xa6e920_0, 8; Only need 8 of 16 bits
; Save base=8 wid=8 in lookaside.
; Save base=8 wid=8 in lookaside.
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v0x1305c10_0, 0, 8;
    %assign/v0 v0xa6c860_0, 0, 8;
    %jmp T_24.12;
    %jmp T_24.12;
T_24.12 ;
T_24.12 ;
T_24.0 ;
T_24.0 ;
    %load/v 8, v0x1308560_0, 1;
    %load/v 8, v0xa6f1b0_0, 1;
    %load/v 9, v0x13080c0_0, 1;
    %load/v 9, v0xa6ed10_0, 1;
    %or 8, 9, 1;
    %or 8, 9, 1;
    %load/v 9, v0x13083c0_0, 1;
    %load/v 9, v0xa6f010_0, 1;
    %or 8, 9, 1;
    %or 8, 9, 1;
    %jmp/0xz  T_24.13, 8;
    %jmp/0xz  T_24.13, 8;
    %load/v 8, v0x1308480_0, 4;
    %load/v 8, v0xa6f0d0_0, 4;
    %pad 12, 0, 2;
    %pad 12, 0, 2;
    %cmpi/u 8, 0, 6;
    %cmpi/u 8, 0, 6;
    %jmp/1 T_24.15, 6;
    %jmp/1 T_24.15, 6;
    %cmpi/u 8, 1, 6;
    %cmpi/u 8, 1, 6;
    %jmp/1 T_24.16, 6;
    %jmp/1 T_24.16, 6;
Line 3232... Line 3017...
    %jmp/1 T_24.23, 6;
    %jmp/1 T_24.23, 6;
    %cmpi/u 8, 11, 6;
    %cmpi/u 8, 11, 6;
    %jmp/1 T_24.24, 6;
    %jmp/1 T_24.24, 6;
    %jmp T_24.25;
    %jmp T_24.25;
T_24.15 ;
T_24.15 ;
    %load/v 8, v0x13071b0_0, 16;
    %load/v 8, v0xa6de00_0, 16;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v0x13059d0_0, 0, 8;
    %assign/v0 v0xa6c620_0, 0, 8;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v0x13058f0_0, 0, 16;
    %assign/v0 v0xa6c540_0, 0, 16;
    %jmp T_24.25;
    %jmp T_24.25;
T_24.16 ;
T_24.16 ;
    %load/v 8, v0x13071b0_0, 16;
    %load/v 8, v0xa6de00_0, 16;
    %ix/load 0, 16, 0;
    %ix/load 0, 16, 0;
    %assign/v0 v0x1305cd0_0, 0, 8;
    %assign/v0 v0xa6c920_0, 0, 8;
    %jmp T_24.25;
    %jmp T_24.25;
T_24.17 ;
T_24.17 ;
    %load/v 8, v0x13071b0_0, 16;
    %load/v 8, v0xa6de00_0, 16;
    %ix/load 0, 16, 0;
    %ix/load 0, 16, 0;
    %assign/v0 v0x1305d90_0, 0, 8;
    %assign/v0 v0xa6c9e0_0, 0, 8;
    %jmp T_24.25;
    %jmp T_24.25;
T_24.18 ;
T_24.18 ;
    %load/v 8, v0x13071b0_0, 16;
    %load/v 8, v0xa6de00_0, 16;
    %ix/load 0, 16, 0;
    %ix/load 0, 16, 0;
    %assign/v0 v0x1306090_0, 0, 8;
    %assign/v0 v0xa6cce0_0, 0, 8;
    %jmp T_24.25;
    %jmp T_24.25;
T_24.19 ;
T_24.19 ;
    %load/v 8, v0x13071b0_0, 16;
    %load/v 8, v0xa6de00_0, 16;
    %ix/load 0, 16, 0;
    %ix/load 0, 16, 0;
    %assign/v0 v0x1305f30_0, 0, 8;
    %assign/v0 v0xa6cb80_0, 0, 8;
    %jmp T_24.25;
    %jmp T_24.25;
T_24.20 ;
T_24.20 ;
    %load/v 8, v0x13071b0_0, 16;
    %load/v 8, v0xa6de00_0, 16;
    %ix/load 0, 16, 0;
    %ix/load 0, 16, 0;
    %assign/v0 v0x1305e60_0, 0, 8;
    %assign/v0 v0xa6cab0_0, 0, 8;
    %jmp T_24.25;
    %jmp T_24.25;
T_24.21 ;
T_24.21 ;
    %load/v 8, v0x13071b0_0, 8; Only need 8 of 16 bits
    %load/v 8, v0xa6de00_0, 8; Only need 8 of 16 bits
; Save base=8 wid=8 in lookaside.
; Save base=8 wid=8 in lookaside.
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v0x13058f0_0, 0, 8;
    %assign/v0 v0xa6c540_0, 0, 8;
    %jmp T_24.25;
    %jmp T_24.25;
T_24.22 ;
T_24.22 ;
    %load/v 8, v0x13071b0_0, 8; Only need 8 of 16 bits
    %load/v 8, v0xa6de00_0, 8; Only need 8 of 16 bits
; Save base=8 wid=8 in lookaside.
; Save base=8 wid=8 in lookaside.
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v0x13059d0_0, 0, 8;
    %assign/v0 v0xa6c620_0, 0, 8;
    %jmp T_24.25;
    %jmp T_24.25;
T_24.23 ;
T_24.23 ;
    %load/v 8, v0x13071b0_0, 8; Only need 8 of 16 bits
    %load/v 8, v0xa6de00_0, 8; Only need 8 of 16 bits
; Save base=8 wid=8 in lookaside.
; Save base=8 wid=8 in lookaside.
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x13064c0_0, 0, 8;
    %assign/v0 v0xa6d110_0, 0, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x1308020_0, 0, 9;
    %assign/v0 v0xa6ec70_0, 0, 9;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x1308620_0, 0, 10;
    %assign/v0 v0xa6f270_0, 0, 10;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x1307370_0, 0, 11;
    %assign/v0 v0xa6dfc0_0, 0, 11;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x13070f0_0, 0, 12;
    %assign/v0 v0xa6dd40_0, 0, 12;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x1306eb0_0, 0, 13;
    %assign/v0 v0xa6db00_0, 0, 13;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x1306df0_0, 0, 14;
    %assign/v0 v0xa6da40_0, 0, 14;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x1306c50_0, 0, 15;
    %assign/v0 v0xa6d8a0_0, 0, 15;
    %jmp T_24.25;
    %jmp T_24.25;
T_24.24 ;
T_24.24 ;
    %load/v 8, v0x13071b0_0, 8; Only need 8 of 16 bits
    %load/v 8, v0xa6de00_0, 8; Only need 8 of 16 bits
; Save base=8 wid=8 in lookaside.
; Save base=8 wid=8 in lookaside.
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v0x1305c10_0, 0, 8;
    %assign/v0 v0xa6c860_0, 0, 8;
    %jmp T_24.25;
    %jmp T_24.25;
T_24.25 ;
T_24.25 ;
T_24.13 ;
T_24.13 ;
    %load/v 8, v0x1308300_0, 1;
    %load/v 8, v0xa6ef50_0, 1;
    %jmp/0xz  T_24.26, 8;
    %jmp/0xz  T_24.26, 8;
    %ix/load 1, 5, 0;
    %ix/load 1, 5, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_24.28, 4;
    %jmp/1 T_24.28, 4;
    %load/x1p 8, v0x1306b90_0, 2;
    %load/x1p 8, v0xa6d7e0_0, 2;
    %jmp T_24.29;
    %jmp T_24.29;
T_24.28 ;
T_24.28 ;
    %mov 8, 2, 2;
    %mov 8, 2, 2;
T_24.29 ;
T_24.29 ;
; Save base=8 wid=2 in lookaside.
; Save base=8 wid=2 in lookaside.
Line 3324... Line 3109...
    %jmp/1 T_24.32, 6;
    %jmp/1 T_24.32, 6;
    %cmpi/u 8, 3, 2;
    %cmpi/u 8, 3, 2;
    %jmp/1 T_24.33, 6;
    %jmp/1 T_24.33, 6;
    %jmp T_24.34;
    %jmp T_24.34;
T_24.30 ;
T_24.30 ;
    %load/v 8, v0x1306930_0, 16;
    %load/v 8, v0xa6d580_0, 16;
    %ix/load 0, 16, 0;
    %ix/load 0, 16, 0;
    %assign/v0 v0x1305cd0_0, 0, 8;
    %assign/v0 v0xa6c920_0, 0, 8;
    %jmp T_24.34;
    %jmp T_24.34;
T_24.31 ;
T_24.31 ;
    %load/v 8, v0x1306930_0, 16;
    %load/v 8, v0xa6d580_0, 16;
    %ix/load 0, 16, 0;
    %ix/load 0, 16, 0;
    %assign/v0 v0x1305d90_0, 0, 8;
    %assign/v0 v0xa6c9e0_0, 0, 8;
    %jmp T_24.34;
    %jmp T_24.34;
T_24.32 ;
T_24.32 ;
    %load/v 8, v0x1306930_0, 16;
    %load/v 8, v0xa6d580_0, 16;
    %ix/load 0, 16, 0;
    %ix/load 0, 16, 0;
    %assign/v0 v0x1306090_0, 0, 8;
    %assign/v0 v0xa6cce0_0, 0, 8;
    %jmp T_24.34;
    %jmp T_24.34;
T_24.33 ;
T_24.33 ;
    %load/v 8, v0x1306930_0, 16;
    %load/v 8, v0xa6d580_0, 16;
    %ix/load 0, 16, 0;
    %ix/load 0, 16, 0;
    %assign/v0 v0x1305f30_0, 0, 8;
    %assign/v0 v0xa6cb80_0, 0, 8;
    %jmp T_24.34;
    %jmp T_24.34;
T_24.34 ;
T_24.34 ;
T_24.26 ;
T_24.26 ;
    %load/v 8, v0x1308180_0, 1;
    %load/v 8, v0xa6edd0_0, 1;
    %jmp/0xz  T_24.35, 8;
    %jmp/0xz  T_24.35, 8;
    %load/v 8, v0x1305a70_0, 8;
    %load/v 8, v0xa6c6c0_0, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x13064c0_0, 0, 8;
    %assign/v0 v0xa6d110_0, 0, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x1308020_0, 0, 9;
    %assign/v0 v0xa6ec70_0, 0, 9;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x1308620_0, 0, 10;
    %assign/v0 v0xa6f270_0, 0, 10;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x1307370_0, 0, 11;
    %assign/v0 v0xa6dfc0_0, 0, 11;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x13070f0_0, 0, 12;
    %assign/v0 v0xa6dd40_0, 0, 12;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x1306eb0_0, 0, 13;
    %assign/v0 v0xa6db00_0, 0, 13;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x1306df0_0, 0, 14;
    %assign/v0 v0xa6da40_0, 0, 14;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x1306c50_0, 0, 15;
    %assign/v0 v0xa6d8a0_0, 0, 15;
T_24.35 ;
T_24.35 ;
    %load/v 8, v0x1307e90_0, 1;
    %load/v 8, v0xa6eae0_0, 1;
    %load/v 9, v0x1306580_0, 1;
    %load/v 9, v0xa6d1d0_0, 1;
    %or 8, 9, 1;
    %or 8, 9, 1;
    %jmp/0xz  T_24.37, 8;
    %jmp/0xz  T_24.37, 8;
    %load/v 8, v0x1307e90_0, 1;
    %load/v 8, v0xa6eae0_0, 1;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x1306c50_0, 0, 8;
    %assign/v0 v0xa6d8a0_0, 0, 8;
T_24.37 ;
T_24.37 ;
    %load/v 8, v0x1308240_0, 1;
    %load/v 8, v0xa6ee90_0, 1;
    %jmp/0xz  T_24.39, 8;
    %jmp/0xz  T_24.39, 8;
    %load/v 8, v0x1307290_0, 16;
    %load/v 8, v0xa6dee0_0, 16;
    %ix/load 0, 16, 0;
    %ix/load 0, 16, 0;
    %assign/v0 v0x1305e60_0, 0, 8;
    %assign/v0 v0xa6cab0_0, 0, 8;
T_24.39 ;
T_24.39 ;
    %load/v 8, v0x1307030_0, 1;
    %load/v 8, v0xa6dc80_0, 1;
    %jmp/0xz  T_24.41, 8;
    %jmp/0xz  T_24.41, 8;
    %load/v 8, v0x1307f50_0, 1;
    %load/v 8, v0xa6eba0_0, 1;
    %jmp/0xz  T_24.43, 8;
    %jmp/0xz  T_24.43, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %load/vp0 8, v0x1305f30_0, 16;
    %load/vp0 8, v0xa6cb80_0, 16;
    %ix/load 0, 16, 0;
    %ix/load 0, 16, 0;
    %assign/v0 v0x1305f30_0, 0, 8;
    %assign/v0 v0xa6cb80_0, 0, 8;
    %jmp T_24.44;
    %jmp T_24.44;
T_24.43 ;
T_24.43 ;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %load/vp0 8, v0x1306090_0, 16;
    %load/vp0 8, v0xa6cce0_0, 16;
    %ix/load 0, 16, 0;
    %ix/load 0, 16, 0;
    %assign/v0 v0x1306090_0, 0, 8;
    %assign/v0 v0xa6cce0_0, 0, 8;
T_24.44 ;
T_24.44 ;
T_24.41 ;
T_24.41 ;
    %load/v 8, v0x1306890_0, 1;
    %load/v 8, v0xa6d4e0_0, 1;
    %jmp/0xz  T_24.45, 8;
    %jmp/0xz  T_24.45, 8;
    %load/v 8, v0x1307f50_0, 1;
    %load/v 8, v0xa6eba0_0, 1;
    %jmp/0xz  T_24.47, 8;
    %jmp/0xz  T_24.47, 8;
    %load/v 8, v0x1305f30_0, 16;
    %load/v 8, v0xa6cb80_0, 16;
    %subi 8, 1, 16;
    %subi 8, 1, 16;
    %ix/load 0, 16, 0;
    %ix/load 0, 16, 0;
    %assign/v0 v0x1305f30_0, 0, 8;
    %assign/v0 v0xa6cb80_0, 0, 8;
    %jmp T_24.48;
    %jmp T_24.48;
T_24.47 ;
T_24.47 ;
    %load/v 8, v0x1306090_0, 16;
    %load/v 8, v0xa6cce0_0, 16;
    %subi 8, 1, 16;
    %subi 8, 1, 16;
    %ix/load 0, 16, 0;
    %ix/load 0, 16, 0;
    %assign/v0 v0x1306090_0, 0, 8;
    %assign/v0 v0xa6cce0_0, 0, 8;
T_24.48 ;
T_24.48 ;
T_24.45 ;
T_24.45 ;
    %jmp T_24;
    %jmp T_24;
    .thread T_24;
    .thread T_24;
    .scope S_0x1303ef0;
    .scope S_0xa6ab40;
T_25 ;
T_25 ;
    %movi 8, 65534, 16;
    %movi 8, 65534, 16;
    %set/v v0x1305e60_0, 8, 16;
    %set/v v0xa6cab0_0, 8, 16;
    %set/v v0x1305c10_0, 0, 8;
    %set/v v0xa6c860_0, 0, 8;
    %set/v v0x1305cd0_0, 0, 16;
    %set/v v0xa6c920_0, 0, 16;
    %set/v v0x13064c0_0, 0, 1;
    %set/v v0xa6d110_0, 0, 1;
    %set/v v0x1308020_0, 0, 1;
    %set/v v0xa6ec70_0, 0, 1;
    %set/v v0x1308620_0, 0, 1;
    %set/v v0xa6f270_0, 0, 1;
    %set/v v0x1307370_0, 0, 1;
    %set/v v0xa6dfc0_0, 0, 1;
    %set/v v0x13070f0_0, 0, 1;
    %set/v v0xa6dd40_0, 0, 1;
    %set/v v0x1306eb0_0, 0, 1;
    %set/v v0xa6db00_0, 0, 1;
    %set/v v0x1306df0_0, 0, 1;
    %set/v v0xa6da40_0, 0, 1;
    %set/v v0x1306c50_0, 0, 1;
    %set/v v0xa6d8a0_0, 0, 1;
    %movi 8, 42330, 16;
    %movi 8, 42330, 16;
    %set/v v0x1305d90_0, 8, 16;
    %set/v v0xa6c9e0_0, 8, 16;
    %movi 8, 3840, 16;
    %movi 8, 3840, 16;
    %set/v v0x1305f30_0, 8, 16;
    %set/v v0xa6cb80_0, 8, 16;
    %movi 8, 3584, 16;
    %movi 8, 3584, 16;
    %set/v v0x1306090_0, 8, 16;
    %set/v v0xa6cce0_0, 8, 16;
    %end;
    %end;
    .thread T_25;
    .thread T_25;
    .scope S_0x1302670;
    .scope S_0xa692c0;
T_26 ;
T_26 ;
    %wait E_0x1302960;
    %wait E_0xa695b0;
    %set/v v0x1303610_0, 1, 4;
    %set/v v0xa6a260_0, 1, 4;
    %set/v v0x13037d0_0, 1, 4;
    %set/v v0xa6a420_0, 1, 4;
    %set/v v0x1303170_0, 1, 4;
    %set/v v0xa69dc0_0, 1, 4;
    %load/v 8, v0x1303480_0, 1;
    %load/v 8, v0xa6a0d0_0, 1;
    %jmp/0xz  T_26.0, 8;
    %jmp/0xz  T_26.0, 8;
    %load/v 8, v0x1303a60_0, 8;
    %load/v 8, v0xa6a6b0_0, 8;
    %movi 16, 131, 8;
    %movi 16, 131, 8;
    %cmp/x 8, 16, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_26.2, 4;
    %jmp/1 T_26.2, 4;
    %movi 16, 147, 8;
    %movi 16, 147, 8;
    %cmp/x 8, 16, 8;
    %cmp/x 8, 16, 8;
Line 3502... Line 3287...
    %jmp/1 T_26.19, 4;
    %jmp/1 T_26.19, 4;
    %cmp/x 8, 1, 8;
    %cmp/x 8, 1, 8;
    %jmp/1 T_26.20, 4;
    %jmp/1 T_26.20, 4;
    %jmp T_26.21;
    %jmp T_26.21;
T_26.2 ;
T_26.2 ;
    %set/v v0x1303610_0, 0, 4;
    %set/v v0xa6a260_0, 0, 4;
    %jmp T_26.21;
    %jmp T_26.21;
T_26.3 ;
T_26.3 ;
    %set/v v0x1303610_0, 0, 4;
    %set/v v0xa6a260_0, 0, 4;
    %jmp T_26.21;
    %jmp T_26.21;
T_26.4 ;
T_26.4 ;
    %set/v v0x1303610_0, 0, 4;
    %set/v v0xa6a260_0, 0, 4;
    %jmp T_26.21;
    %jmp T_26.21;
T_26.5 ;
T_26.5 ;
    %set/v v0x1303610_0, 0, 4;
    %set/v v0xa6a260_0, 0, 4;
    %jmp T_26.21;
    %jmp T_26.21;
T_26.6 ;
T_26.6 ;
    %movi 8, 2, 4;
    %movi 8, 2, 4;
    %set/v v0x1303610_0, 8, 4;
    %set/v v0xa6a260_0, 8, 4;
    %jmp T_26.21;
    %jmp T_26.21;
T_26.7 ;
T_26.7 ;
    %movi 8, 2, 4;
    %movi 8, 2, 4;
    %set/v v0x1303610_0, 8, 4;
    %set/v v0xa6a260_0, 8, 4;
    %jmp T_26.21;
    %jmp T_26.21;
T_26.8 ;
T_26.8 ;
    %movi 8, 2, 4;
    %movi 8, 2, 4;
    %set/v v0x1303610_0, 8, 4;
    %set/v v0xa6a260_0, 8, 4;
    %jmp T_26.21;
    %jmp T_26.21;
T_26.9 ;
T_26.9 ;
    %movi 8, 2, 4;
    %movi 8, 2, 4;
    %set/v v0x1303610_0, 8, 4;
    %set/v v0xa6a260_0, 8, 4;
    %jmp T_26.21;
    %jmp T_26.21;
T_26.10 ;
T_26.10 ;
    %movi 8, 2, 4;
    %movi 8, 2, 4;
    %set/v v0x1303610_0, 8, 4;
    %set/v v0xa6a260_0, 8, 4;
    %jmp T_26.21;
    %jmp T_26.21;
T_26.11 ;
T_26.11 ;
    %movi 8, 2, 4;
    %movi 8, 2, 4;
    %set/v v0x1303610_0, 8, 4;
    %set/v v0xa6a260_0, 8, 4;
    %jmp T_26.21;
    %jmp T_26.21;
T_26.12 ;
T_26.12 ;
    %movi 8, 2, 4;
    %movi 8, 2, 4;
    %set/v v0x1303610_0, 8, 4;
    %set/v v0xa6a260_0, 8, 4;
    %jmp T_26.21;
    %jmp T_26.21;
T_26.13 ;
T_26.13 ;
    %movi 8, 2, 4;
    %movi 8, 2, 4;
    %set/v v0x1303610_0, 8, 4;
    %set/v v0xa6a260_0, 8, 4;
    %jmp T_26.21;
    %jmp T_26.21;
T_26.14 ;
T_26.14 ;
    %movi 8, 2, 4;
    %movi 8, 2, 4;
    %set/v v0x1303610_0, 8, 4;
    %set/v v0xa6a260_0, 8, 4;
    %jmp T_26.21;
    %jmp T_26.21;
T_26.15 ;
T_26.15 ;
    %movi 8, 2, 4;
    %movi 8, 2, 4;
    %set/v v0x1303610_0, 8, 4;
    %set/v v0xa6a260_0, 8, 4;
    %jmp T_26.21;
    %jmp T_26.21;
T_26.16 ;
T_26.16 ;
    %movi 8, 2, 4;
    %movi 8, 2, 4;
    %set/v v0x1303610_0, 8, 4;
    %set/v v0xa6a260_0, 8, 4;
    %jmp T_26.21;
    %jmp T_26.21;
T_26.17 ;
T_26.17 ;
    %movi 8, 2, 4;
    %movi 8, 2, 4;
    %set/v v0x1303610_0, 8, 4;
    %set/v v0xa6a260_0, 8, 4;
    %jmp T_26.21;
    %jmp T_26.21;
T_26.18 ;
T_26.18 ;
    %movi 8, 4, 4;
    %movi 8, 4, 4;
    %set/v v0x1303610_0, 8, 4;
    %set/v v0xa6a260_0, 8, 4;
    %jmp T_26.21;
    %jmp T_26.21;
T_26.19 ;
T_26.19 ;
    %movi 8, 4, 4;
    %movi 8, 4, 4;
    %set/v v0x1303610_0, 8, 4;
    %set/v v0xa6a260_0, 8, 4;
    %jmp T_26.21;
    %jmp T_26.21;
T_26.20 ;
T_26.20 ;
    %movi 8, 4, 4;
    %movi 8, 4, 4;
    %set/v v0x1303610_0, 8, 4;
    %set/v v0xa6a260_0, 8, 4;
    %jmp T_26.21;
    %jmp T_26.21;
T_26.21 ;
T_26.21 ;
    %load/v 8, v0x1303a60_0, 8;
    %load/v 8, v0xa6a6b0_0, 8;
    %movi 16, 131, 8;
    %movi 16, 131, 8;
    %cmp/x 8, 16, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_26.22, 4;
    %jmp/1 T_26.22, 4;
    %movi 16, 140, 8;
    %movi 16, 140, 8;
    %cmp/x 8, 16, 8;
    %cmp/x 8, 16, 8;
Line 3635... Line 3420...
    %cmp/x 8, 16, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_26.40, 4;
    %jmp/1 T_26.40, 4;
    %jmp T_26.41;
    %jmp T_26.41;
T_26.22 ;
T_26.22 ;
    %movi 8, 7, 4;
    %movi 8, 7, 4;
    %set/v v0x13037d0_0, 8, 4;
    %set/v v0xa6a420_0, 8, 4;
    %jmp T_26.41;
    %jmp T_26.41;
T_26.23 ;
T_26.23 ;
    %movi 8, 7, 4;
    %movi 8, 7, 4;
    %set/v v0x13037d0_0, 8, 4;
    %set/v v0xa6a420_0, 8, 4;
    %jmp T_26.41;
    %jmp T_26.41;
T_26.24 ;
T_26.24 ;
    %movi 8, 7, 4;
    %movi 8, 7, 4;
    %set/v v0x13037d0_0, 8, 4;
    %set/v v0xa6a420_0, 8, 4;
    %jmp T_26.41;
    %jmp T_26.41;
T_26.25 ;
T_26.25 ;
    %movi 8, 7, 4;
    %movi 8, 7, 4;
    %set/v v0x13037d0_0, 8, 4;
    %set/v v0xa6a420_0, 8, 4;
    %jmp T_26.41;
    %jmp T_26.41;
T_26.26 ;
T_26.26 ;
    %movi 8, 6, 4;
    %movi 8, 6, 4;
    %set/v v0x13037d0_0, 8, 4;
    %set/v v0xa6a420_0, 8, 4;
    %jmp T_26.41;
    %jmp T_26.41;
T_26.27 ;
T_26.27 ;
    %movi 8, 6, 4;
    %movi 8, 6, 4;
    %set/v v0x13037d0_0, 8, 4;
    %set/v v0xa6a420_0, 8, 4;
    %jmp T_26.41;
    %jmp T_26.41;
T_26.28 ;
T_26.28 ;
    %movi 8, 6, 4;
    %movi 8, 6, 4;
    %set/v v0x13037d0_0, 8, 4;
    %set/v v0xa6a420_0, 8, 4;
    %jmp T_26.41;
    %jmp T_26.41;
T_26.29 ;
T_26.29 ;
    %movi 8, 6, 4;
    %movi 8, 6, 4;
    %set/v v0x13037d0_0, 8, 4;
    %set/v v0xa6a420_0, 8, 4;
    %jmp T_26.41;
    %jmp T_26.41;
T_26.30 ;
T_26.30 ;
    %movi 8, 6, 4;
    %movi 8, 6, 4;
    %set/v v0x13037d0_0, 8, 4;
    %set/v v0xa6a420_0, 8, 4;
    %jmp T_26.41;
    %jmp T_26.41;
T_26.31 ;
T_26.31 ;
    %movi 8, 6, 4;
    %movi 8, 6, 4;
    %set/v v0x13037d0_0, 8, 4;
    %set/v v0xa6a420_0, 8, 4;
    %jmp T_26.41;
    %jmp T_26.41;
T_26.32 ;
T_26.32 ;
    %movi 8, 6, 4;
    %movi 8, 6, 4;
    %set/v v0x13037d0_0, 8, 4;
    %set/v v0xa6a420_0, 8, 4;
    %jmp T_26.41;
    %jmp T_26.41;
T_26.33 ;
T_26.33 ;
    %movi 8, 6, 4;
    %movi 8, 6, 4;
    %set/v v0x13037d0_0, 8, 4;
    %set/v v0xa6a420_0, 8, 4;
    %jmp T_26.41;
    %jmp T_26.41;
T_26.34 ;
T_26.34 ;
    %movi 8, 6, 4;
    %movi 8, 6, 4;
    %set/v v0x13037d0_0, 8, 4;
    %set/v v0xa6a420_0, 8, 4;
    %jmp T_26.41;
    %jmp T_26.41;
T_26.35 ;
T_26.35 ;
    %movi 8, 6, 4;
    %movi 8, 6, 4;
    %set/v v0x13037d0_0, 8, 4;
    %set/v v0xa6a420_0, 8, 4;
    %jmp T_26.41;
    %jmp T_26.41;
T_26.36 ;
T_26.36 ;
    %movi 8, 6, 4;
    %movi 8, 6, 4;
    %set/v v0x13037d0_0, 8, 4;
    %set/v v0xa6a420_0, 8, 4;
    %jmp T_26.41;
    %jmp T_26.41;
T_26.37 ;
T_26.37 ;
    %movi 8, 6, 4;
    %movi 8, 6, 4;
    %set/v v0x13037d0_0, 8, 4;
    %set/v v0xa6a420_0, 8, 4;
    %jmp T_26.41;
    %jmp T_26.41;
T_26.38 ;
T_26.38 ;
    %movi 8, 6, 4;
    %movi 8, 6, 4;
    %set/v v0x13037d0_0, 8, 4;
    %set/v v0xa6a420_0, 8, 4;
    %jmp T_26.41;
    %jmp T_26.41;
T_26.39 ;
T_26.39 ;
    %movi 8, 6, 4;
    %movi 8, 6, 4;
    %set/v v0x13037d0_0, 8, 4;
    %set/v v0xa6a420_0, 8, 4;
    %jmp T_26.41;
    %jmp T_26.41;
T_26.40 ;
T_26.40 ;
    %movi 8, 6, 4;
    %movi 8, 6, 4;
    %set/v v0x13037d0_0, 8, 4;
    %set/v v0xa6a420_0, 8, 4;
    %jmp T_26.41;
    %jmp T_26.41;
T_26.41 ;
T_26.41 ;
    %load/v 8, v0x1303a60_0, 8;
    %load/v 8, v0xa6a6b0_0, 8;
    %movi 16, 131, 8;
    %movi 16, 131, 8;
    %cmp/x 8, 16, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_26.42, 4;
    %jmp/1 T_26.42, 4;
    %movi 16, 147, 8;
    %movi 16, 147, 8;
    %cmp/x 8, 16, 8;
    %cmp/x 8, 16, 8;
Line 3759... Line 3544...
    %cmp/x 8, 16, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_26.56, 4;
    %jmp/1 T_26.56, 4;
    %movi 16, 254, 8;
    %movi 16, 254, 8;
    %cmp/x 8, 16, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_26.57, 4;
    %jmp/1 T_26.57, 4;
    %movi 16, 143, 8;
    %movi 16, 159, 8;
    %cmp/x 8, 16, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_26.58, 4;
    %jmp/1 T_26.58, 4;
    %movi 16, 159, 8;
    %movi 16, 175, 8;
    %cmp/x 8, 16, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_26.59, 4;
    %jmp/1 T_26.59, 4;
    %movi 16, 175, 8;
    %movi 16, 191, 8;
    %cmp/x 8, 16, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_26.60, 4;
    %jmp/1 T_26.60, 4;
    %movi 16, 191, 8;
    %movi 16, 223, 8;
    %cmp/x 8, 16, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_26.61, 4;
    %jmp/1 T_26.61, 4;
    %movi 16, 159, 8;
    %movi 16, 239, 8;
    %cmp/x 8, 16, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_26.62, 4;
    %jmp/1 T_26.62, 4;
    %movi 16, 175, 8;
    %cmp/x 8, 1, 8;
    %cmp/x 8, 16, 8;
 
    %jmp/1 T_26.63, 4;
    %jmp/1 T_26.63, 4;
    %movi 16, 191, 8;
    %jmp T_26.64;
    %cmp/x 8, 16, 8;
 
    %jmp/1 T_26.64, 4;
 
    %jmp T_26.65;
 
T_26.42 ;
T_26.42 ;
    %jmp T_26.65;
    %jmp T_26.64;
T_26.43 ;
T_26.43 ;
    %jmp T_26.65;
    %jmp T_26.64;
T_26.44 ;
T_26.44 ;
    %jmp T_26.65;
    %jmp T_26.64;
T_26.45 ;
T_26.45 ;
    %jmp T_26.65;
    %jmp T_26.64;
T_26.46 ;
T_26.46 ;
    %jmp T_26.65;
    %jmp T_26.64;
T_26.47 ;
T_26.47 ;
    %jmp T_26.65;
    %jmp T_26.64;
T_26.48 ;
T_26.48 ;
    %jmp T_26.65;
    %jmp T_26.64;
T_26.49 ;
T_26.49 ;
    %jmp T_26.65;
    %jmp T_26.64;
T_26.50 ;
T_26.50 ;
    %movi 8, 2, 4;
    %movi 8, 2, 4;
    %set/v v0x1303170_0, 8, 4;
    %set/v v0xa69dc0_0, 8, 4;
    %jmp T_26.65;
    %jmp T_26.64;
T_26.51 ;
T_26.51 ;
    %movi 8, 2, 4;
    %movi 8, 2, 4;
    %set/v v0x1303170_0, 8, 4;
    %set/v v0xa69dc0_0, 8, 4;
    %jmp T_26.65;
    %jmp T_26.64;
T_26.52 ;
T_26.52 ;
    %movi 8, 2, 4;
    %movi 8, 2, 4;
    %set/v v0x1303170_0, 8, 4;
    %set/v v0xa69dc0_0, 8, 4;
    %jmp T_26.65;
    %jmp T_26.64;
T_26.53 ;
T_26.53 ;
    %movi 8, 2, 4;
    %movi 8, 2, 4;
    %set/v v0x1303170_0, 8, 4;
    %set/v v0xa69dc0_0, 8, 4;
    %jmp T_26.65;
    %jmp T_26.64;
T_26.54 ;
T_26.54 ;
    %movi 8, 4, 4;
    %movi 8, 4, 4;
    %set/v v0x1303170_0, 8, 4;
    %set/v v0xa69dc0_0, 8, 4;
    %jmp T_26.65;
    %jmp T_26.64;
T_26.55 ;
T_26.55 ;
    %movi 8, 4, 4;
    %movi 8, 4, 4;
    %set/v v0x1303170_0, 8, 4;
    %set/v v0xa69dc0_0, 8, 4;
    %jmp T_26.65;
    %jmp T_26.64;
T_26.56 ;
T_26.56 ;
    %movi 8, 4, 4;
    %movi 8, 4, 4;
    %set/v v0x1303170_0, 8, 4;
    %set/v v0xa69dc0_0, 8, 4;
    %jmp T_26.65;
    %jmp T_26.64;
T_26.57 ;
T_26.57 ;
    %movi 8, 4, 4;
    %movi 8, 4, 4;
    %set/v v0x1303170_0, 8, 4;
    %set/v v0xa69dc0_0, 8, 4;
    %jmp T_26.65;
    %jmp T_26.64;
T_26.58 ;
T_26.58 ;
    %movi 8, 6, 4;
    %movi 8, 6, 4;
    %set/v v0x1303170_0, 8, 4;
    %set/v v0xa69dc0_0, 8, 4;
    %jmp T_26.65;
    %jmp T_26.64;
T_26.59 ;
T_26.59 ;
    %movi 8, 6, 4;
    %movi 8, 6, 4;
    %set/v v0x1303170_0, 8, 4;
    %set/v v0xa69dc0_0, 8, 4;
    %jmp T_26.65;
    %jmp T_26.64;
T_26.60 ;
T_26.60 ;
    %movi 8, 6, 4;
    %movi 8, 6, 4;
    %set/v v0x1303170_0, 8, 4;
    %set/v v0xa69dc0_0, 8, 4;
    %jmp T_26.65;
    %jmp T_26.64;
T_26.61 ;
T_26.61 ;
    %movi 8, 6, 4;
    %movi 8, 6, 4;
    %set/v v0x1303170_0, 8, 4;
    %set/v v0xa69dc0_0, 8, 4;
    %jmp T_26.65;
    %jmp T_26.64;
T_26.62 ;
T_26.62 ;
    %movi 8, 6, 4;
    %movi 8, 6, 4;
    %set/v v0x1303170_0, 8, 4;
    %set/v v0xa69dc0_0, 8, 4;
    %jmp T_26.65;
    %jmp T_26.64;
T_26.63 ;
T_26.63 ;
    %movi 8, 6, 4;
    %movi 8, 6, 4;
    %set/v v0x1303170_0, 8, 4;
    %set/v v0xa69dc0_0, 8, 4;
    %jmp T_26.65;
    %jmp T_26.64;
T_26.64 ;
T_26.64 ;
    %movi 8, 6, 4;
 
    %set/v v0x1303170_0, 8, 4;
 
    %jmp T_26.65;
 
T_26.65 ;
 
T_26.0 ;
T_26.0 ;
    %load/v 8, v0x1303520_0, 1;
    %load/v 8, v0xa6a170_0, 1;
    %jmp/0xz  T_26.66, 8;
    %jmp/0xz  T_26.65, 8;
    %load/v 8, v0x1303a60_0, 8;
    %load/v 8, v0xa6a6b0_0, 8;
    %movi 16, 131, 8;
    %movi 16, 131, 8;
    %cmp/x 8, 16, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_26.68, 4;
    %jmp/1 T_26.67, 4;
    %movi 16, 147, 8;
    %movi 16, 147, 8;
    %cmp/x 8, 16, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_26.69, 4;
    %jmp/1 T_26.68, 4;
    %movi 16, 163, 8;
    %movi 16, 163, 8;
    %cmp/x 8, 16, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_26.70, 4;
    %jmp/1 T_26.69, 4;
    %movi 16, 179, 8;
    %movi 16, 179, 8;
    %cmp/x 8, 16, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_26.71, 4;
    %jmp/1 T_26.70, 4;
    %movi 16, 140, 8;
    %movi 16, 140, 8;
    %cmp/x 8, 16, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_26.72, 4;
    %jmp/1 T_26.71, 4;
    %movi 16, 156, 8;
    %movi 16, 156, 8;
    %cmp/x 8, 16, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_26.73, 4;
    %jmp/1 T_26.72, 4;
    %movi 16, 172, 8;
    %movi 16, 172, 8;
    %cmp/x 8, 16, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_26.74, 4;
    %jmp/1 T_26.73, 4;
    %movi 16, 188, 8;
    %movi 16, 188, 8;
    %cmp/x 8, 16, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_26.75, 4;
    %jmp/1 T_26.74, 4;
    %jmp T_26.76;
    %jmp T_26.75;
 
T_26.67 ;
 
    %movi 8, 3, 4;
 
    %set/v v0xa6a260_0, 8, 4;
 
    %jmp T_26.75;
T_26.68 ;
T_26.68 ;
    %movi 8, 3, 4;
    %movi 8, 3, 4;
    %set/v v0x1303610_0, 8, 4;
    %set/v v0xa6a260_0, 8, 4;
    %jmp T_26.76;
    %jmp T_26.75;
T_26.69 ;
T_26.69 ;
    %movi 8, 3, 4;
    %movi 8, 3, 4;
    %set/v v0x1303610_0, 8, 4;
    %set/v v0xa6a260_0, 8, 4;
    %jmp T_26.76;
    %jmp T_26.75;
T_26.70 ;
T_26.70 ;
    %movi 8, 3, 4;
    %movi 8, 3, 4;
    %set/v v0x1303610_0, 8, 4;
    %set/v v0xa6a260_0, 8, 4;
    %jmp T_26.76;
    %jmp T_26.75;
T_26.71 ;
T_26.71 ;
    %movi 8, 3, 4;
    %movi 8, 4, 4;
    %set/v v0x1303610_0, 8, 4;
    %set/v v0xa6a260_0, 8, 4;
    %jmp T_26.76;
    %jmp T_26.75;
T_26.72 ;
T_26.72 ;
    %movi 8, 4, 4;
    %movi 8, 4, 4;
    %set/v v0x1303610_0, 8, 4;
    %set/v v0xa6a260_0, 8, 4;
    %jmp T_26.76;
    %jmp T_26.75;
T_26.73 ;
T_26.73 ;
    %movi 8, 4, 4;
    %movi 8, 4, 4;
    %set/v v0x1303610_0, 8, 4;
    %set/v v0xa6a260_0, 8, 4;
    %jmp T_26.76;
    %jmp T_26.75;
T_26.74 ;
T_26.74 ;
    %movi 8, 4, 4;
    %movi 8, 4, 4;
    %set/v v0x1303610_0, 8, 4;
    %set/v v0xa6a260_0, 8, 4;
    %jmp T_26.76;
    %jmp T_26.75;
T_26.75 ;
T_26.75 ;
    %movi 8, 4, 4;
    %load/v 8, v0xa6a6b0_0, 8;
    %set/v v0x1303610_0, 8, 4;
 
    %jmp T_26.76;
 
T_26.76 ;
 
    %load/v 8, v0x1303a60_0, 8;
 
    %movi 16, 131, 8;
    %movi 16, 131, 8;
    %cmp/x 8, 16, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_26.77, 4;
    %jmp/1 T_26.76, 4;
    %movi 16, 140, 8;
    %movi 16, 140, 8;
    %cmp/x 8, 16, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_26.78, 4;
    %jmp/1 T_26.77, 4;
    %movi 16, 147, 8;
    %movi 16, 147, 8;
    %cmp/x 8, 16, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_26.79, 4;
    %jmp/1 T_26.78, 4;
    %movi 16, 163, 8;
    %movi 16, 163, 8;
    %cmp/x 8, 16, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_26.80, 4;
    %jmp/1 T_26.79, 4;
    %movi 16, 179, 8;
    %movi 16, 179, 8;
    %cmp/x 8, 16, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_26.81, 4;
    %jmp/1 T_26.80, 4;
    %movi 16, 156, 8;
    %movi 16, 156, 8;
    %cmp/x 8, 16, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_26.82, 4;
    %jmp/1 T_26.81, 4;
    %movi 16, 172, 8;
    %movi 16, 172, 8;
    %cmp/x 8, 16, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_26.83, 4;
    %jmp/1 T_26.82, 4;
    %movi 16, 188, 8;
    %movi 16, 188, 8;
    %cmp/x 8, 16, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_26.84, 4;
    %jmp/1 T_26.83, 4;
    %jmp T_26.85;
    %jmp T_26.84;
 
T_26.76 ;
 
    %movi 8, 7, 4;
 
    %set/v v0xa6a420_0, 8, 4;
 
    %jmp T_26.84;
T_26.77 ;
T_26.77 ;
    %movi 8, 7, 4;
    %movi 8, 7, 4;
    %set/v v0x13037d0_0, 8, 4;
    %set/v v0xa6a420_0, 8, 4;
    %jmp T_26.85;
    %jmp T_26.84;
T_26.78 ;
T_26.78 ;
    %movi 8, 7, 4;
    %movi 8, 6, 4;
    %set/v v0x13037d0_0, 8, 4;
    %set/v v0xa6a420_0, 8, 4;
    %jmp T_26.85;
    %jmp T_26.84;
T_26.79 ;
T_26.79 ;
    %movi 8, 6, 4;
    %movi 8, 6, 4;
    %set/v v0x13037d0_0, 8, 4;
    %set/v v0xa6a420_0, 8, 4;
    %jmp T_26.85;
    %jmp T_26.84;
T_26.80 ;
T_26.80 ;
    %movi 8, 6, 4;
    %movi 8, 6, 4;
    %set/v v0x13037d0_0, 8, 4;
    %set/v v0xa6a420_0, 8, 4;
    %jmp T_26.85;
    %jmp T_26.84;
T_26.81 ;
T_26.81 ;
    %movi 8, 6, 4;
    %movi 8, 6, 4;
    %set/v v0x13037d0_0, 8, 4;
    %set/v v0xa6a420_0, 8, 4;
    %jmp T_26.85;
    %jmp T_26.84;
T_26.82 ;
T_26.82 ;
    %movi 8, 6, 4;
    %movi 8, 6, 4;
    %set/v v0x13037d0_0, 8, 4;
    %set/v v0xa6a420_0, 8, 4;
    %jmp T_26.85;
    %jmp T_26.84;
T_26.83 ;
T_26.83 ;
    %movi 8, 6, 4;
    %movi 8, 6, 4;
    %set/v v0x13037d0_0, 8, 4;
    %set/v v0xa6a420_0, 8, 4;
    %jmp T_26.85;
    %jmp T_26.84;
T_26.84 ;
T_26.84 ;
    %movi 8, 6, 4;
    %load/v 8, v0xa6a6b0_0, 8;
    %set/v v0x13037d0_0, 8, 4;
 
    %jmp T_26.85;
 
T_26.85 ;
 
    %load/v 8, v0x1303a60_0, 8;
 
    %movi 16, 131, 8;
    %movi 16, 131, 8;
    %cmp/x 8, 16, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_26.86, 4;
    %jmp/1 T_26.85, 4;
    %movi 16, 147, 8;
    %movi 16, 147, 8;
    %cmp/x 8, 16, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_26.87, 4;
    %jmp/1 T_26.86, 4;
    %movi 16, 163, 8;
    %movi 16, 163, 8;
    %cmp/x 8, 16, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_26.88, 4;
    %jmp/1 T_26.87, 4;
    %movi 16, 179, 8;
    %movi 16, 179, 8;
    %cmp/x 8, 16, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_26.89, 4;
    %jmp/1 T_26.88, 4;
    %movi 16, 140, 8;
    %movi 16, 140, 8;
    %cmp/x 8, 16, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_26.90, 4;
    %jmp/1 T_26.89, 4;
    %movi 16, 156, 8;
    %movi 16, 156, 8;
    %cmp/x 8, 16, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_26.91, 4;
    %jmp/1 T_26.90, 4;
    %movi 16, 172, 8;
    %movi 16, 172, 8;
    %cmp/x 8, 16, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_26.92, 4;
    %jmp/1 T_26.91, 4;
    %movi 16, 188, 8;
    %movi 16, 188, 8;
    %cmp/x 8, 16, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_26.93, 4;
    %jmp/1 T_26.92, 4;
    %jmp T_26.94;
    %jmp T_26.93;
 
T_26.85 ;
 
    %jmp T_26.93;
T_26.86 ;
T_26.86 ;
    %jmp T_26.94;
    %jmp T_26.93;
T_26.87 ;
T_26.87 ;
    %jmp T_26.94;
    %jmp T_26.93;
T_26.88 ;
T_26.88 ;
    %jmp T_26.94;
    %jmp T_26.93;
T_26.89 ;
T_26.89 ;
    %jmp T_26.94;
    %jmp T_26.93;
T_26.90 ;
T_26.90 ;
    %jmp T_26.94;
    %jmp T_26.93;
T_26.91 ;
T_26.91 ;
    %jmp T_26.94;
    %jmp T_26.93;
T_26.92 ;
T_26.92 ;
    %jmp T_26.94;
    %jmp T_26.93;
T_26.93 ;
T_26.93 ;
    %jmp T_26.94;
T_26.65 ;
T_26.94 ;
    %load/v 8, v0xa6a010_0, 8;
T_26.66 ;
 
    %load/v 8, v0x13033c0_0, 8;
 
    %movi 16, 30, 8;
    %movi 16, 30, 8;
    %cmp/x 8, 16, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_26.95, 4;
    %jmp/1 T_26.94, 4;
    %movi 16, 31, 8;
    %movi 16, 31, 8;
    %cmp/x 8, 16, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_26.96, 4;
    %jmp/1 T_26.95, 4;
    %movi 16, 48, 8;
    %movi 16, 48, 8;
    %cmp/x 8, 16, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_26.97, 4;
    %jmp/1 T_26.96, 4;
    %movi 16, 49, 8;
    %movi 16, 49, 8;
    %cmp/x 8, 16, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_26.98, 4;
    %jmp/1 T_26.97, 4;
    %movi 16, 50, 8;
    %movi 16, 50, 8;
    %cmp/x 8, 16, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_26.99, 4;
    %jmp/1 T_26.98, 4;
    %movi 16, 51, 8;
    %movi 16, 51, 8;
    %cmp/x 8, 16, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_26.100, 4;
    %jmp/1 T_26.99, 4;
    %movi 16, 57, 8;
    %movi 16, 57, 8;
    %cmp/x 8, 16, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_26.101, 4;
    %jmp/1 T_26.100, 4;
    %movi 16, 61, 8;
    %movi 16, 61, 8;
    %cmp/x 8, 16, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_26.102, 4;
    %jmp/1 T_26.101, 4;
    %mov 16, 2, 4;
    %mov 16, 2, 4;
    %movi 20, 4, 4;
    %movi 20, 4, 4;
    %cmp/x 8, 16, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_26.103, 4;
    %jmp/1 T_26.102, 4;
    %mov 24, 2, 4;
    %mov 24, 2, 4;
    %movi 28, 5, 4;
    %movi 28, 5, 4;
    %cmp/x 8, 24, 8;
    %cmp/x 8, 24, 8;
    %jmp/1 T_26.104, 4;
    %jmp/1 T_26.103, 4;
    %mov 32, 2, 4;
    %mov 32, 2, 4;
    %movi 36, 0, 4;
    %movi 36, 0, 4;
    %cmp/x 8, 32, 8;
    %cmp/x 8, 32, 8;
    %jmp/1 T_26.105, 4;
    %jmp/1 T_26.104, 4;
    %mov 40, 2, 4;
    %mov 40, 2, 4;
    %movi 44, 7, 4;
    %movi 44, 7, 4;
    %cmp/x 8, 40, 8;
    %cmp/x 8, 40, 8;
    %jmp/1 T_26.106, 4;
    %jmp/1 T_26.105, 4;
    %mov 48, 2, 4;
    %mov 48, 2, 4;
    %movi 52, 6, 4;
    %movi 52, 6, 4;
    %cmp/x 8, 48, 8;
    %cmp/x 8, 48, 8;
    %jmp/1 T_26.107, 4;
    %jmp/1 T_26.106, 4;
    %mov 56, 2, 4;
    %mov 56, 2, 4;
    %movi 60, 4, 4;
    %movi 60, 8, 4;
    %cmp/x 8, 56, 8;
    %cmp/x 8, 56, 8;
    %jmp/1 T_26.108, 4;
    %jmp/1 T_26.107, 4;
    %mov 64, 2, 4;
    %mov 64, 2, 4;
    %movi 68, 8, 4;
    %movi 68, 9, 4;
    %cmp/x 8, 64, 8;
    %cmp/x 8, 64, 8;
    %jmp/1 T_26.109, 4;
    %jmp/1 T_26.108, 4;
    %mov 72, 2, 4;
    %mov 72, 2, 4;
    %movi 76, 9, 4;
    %movi 76, 10, 4;
    %cmp/x 8, 72, 8;
    %cmp/x 8, 72, 8;
    %jmp/1 T_26.110, 4;
    %jmp/1 T_26.109, 4;
    %mov 80, 2, 4;
    %mov 80, 2, 4;
    %movi 84, 10, 4;
    %movi 84, 11, 4;
    %cmp/x 8, 80, 8;
    %cmp/x 8, 80, 8;
    %jmp/1 T_26.111, 4;
    %jmp/1 T_26.110, 4;
    %mov 88, 2, 4;
    %mov 88, 2, 4;
    %movi 92, 11, 4;
    %movi 92, 12, 4;
    %cmp/x 8, 88, 8;
    %cmp/x 8, 88, 8;
    %jmp/1 T_26.112, 4;
    %jmp/1 T_26.111, 4;
    %mov 96, 2, 4;
    %mov 96, 2, 4;
    %movi 100, 5, 4;
    %movi 100, 13, 4;
    %cmp/x 8, 96, 8;
    %cmp/x 8, 96, 8;
    %jmp/1 T_26.113, 4;
    %jmp/1 T_26.112, 4;
    %mov 104, 2, 4;
    %mov 104, 2, 4;
    %movi 108, 12, 4;
    %movi 108, 14, 4;
    %cmp/x 8, 104, 8;
    %cmp/x 8, 104, 8;
    %jmp/1 T_26.114, 4;
    %jmp/1 T_26.113, 4;
    %mov 112, 2, 4;
    %mov 112, 2, 4;
    %movi 116, 13, 4;
    %movi 116, 15, 4;
    %cmp/x 8, 112, 8;
    %cmp/x 8, 112, 8;
    %jmp/1 T_26.115, 4;
    %jmp/1 T_26.114, 4;
    %mov 120, 2, 4;
    %jmp T_26.115;
    %movi 124, 14, 4;
T_26.94 ;
    %cmp/x 8, 120, 8;
    %load/v 8, v0xa6a6b0_0, 4; Only need 4 of 8 bits
    %jmp/1 T_26.116, 4;
 
    %mov 128, 2, 4;
 
    %movi 132, 15, 4;
 
    %cmp/x 8, 128, 8;
 
    %jmp/1 T_26.117, 4;
 
    %jmp T_26.118;
 
T_26.95 ;
 
    %load/v 8, v0x1303a60_0, 4; Only need 4 of 8 bits
 
; Save base=8 wid=4 in lookaside.
; Save base=8 wid=4 in lookaside.
    %set/v v0x1303170_0, 8, 4;
    %set/v v0xa69dc0_0, 8, 4;
    %ix/load 1, 4, 0;
    %ix/load 1, 4, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_26.119, 4;
    %jmp/1 T_26.116, 4;
    %load/x1p 8, v0x1303a60_0, 4;
    %load/x1p 8, v0xa6a6b0_0, 4;
    %jmp T_26.120;
    %jmp T_26.117;
T_26.119 ;
T_26.116 ;
    %mov 8, 2, 4;
    %mov 8, 2, 4;
T_26.120 ;
T_26.117 ;
; Save base=8 wid=4 in lookaside.
; Save base=8 wid=4 in lookaside.
    %set/v v0x1303610_0, 8, 4;
    %set/v v0xa6a260_0, 8, 4;
    %load/v 8, v0x1303a60_0, 4; Only need 4 of 8 bits
    %load/v 8, v0xa6a6b0_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
; Save base=8 wid=4 in lookaside.
    %set/v v0x13037d0_0, 8, 4;
    %set/v v0xa6a420_0, 8, 4;
    %jmp T_26.118;
    %jmp T_26.115;
T_26.96 ;
T_26.95 ;
    %load/v 8, v0x1303a60_0, 4; Only need 4 of 8 bits
    %load/v 8, v0xa6a6b0_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
; Save base=8 wid=4 in lookaside.
    %set/v v0x1303170_0, 8, 4;
    %set/v v0xa69dc0_0, 8, 4;
    %ix/load 1, 4, 0;
    %ix/load 1, 4, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_26.121, 4;
    %jmp/1 T_26.118, 4;
    %load/x1p 8, v0x1303a60_0, 4;
    %load/x1p 8, v0xa6a6b0_0, 4;
    %jmp T_26.122;
    %jmp T_26.119;
T_26.121 ;
T_26.118 ;
    %mov 8, 2, 4;
    %mov 8, 2, 4;
T_26.122 ;
T_26.119 ;
; Save base=8 wid=4 in lookaside.
; Save base=8 wid=4 in lookaside.
    %set/v v0x1303610_0, 8, 4;
    %set/v v0xa6a260_0, 8, 4;
    %load/v 8, v0x1303a60_0, 4; Only need 4 of 8 bits
    %load/v 8, v0xa6a6b0_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
; Save base=8 wid=4 in lookaside.
    %set/v v0x13037d0_0, 8, 4;
    %set/v v0xa6a420_0, 8, 4;
    %jmp T_26.118;
    %jmp T_26.115;
T_26.97 ;
T_26.96 ;
    %movi 8, 1, 4;
    %movi 8, 1, 4;
    %set/v v0x1303170_0, 8, 4;
    %set/v v0xa69dc0_0, 8, 4;
    %jmp T_26.118;
    %jmp T_26.115;
T_26.98 ;
T_26.97 ;
    %movi 8, 2, 4;
    %movi 8, 2, 4;
    %set/v v0x1303170_0, 8, 4;
    %set/v v0xa69dc0_0, 8, 4;
    %jmp T_26.118;
    %jmp T_26.115;
T_26.99 ;
T_26.98 ;
    %movi 8, 4, 4;
    %movi 8, 4, 4;
    %set/v v0x1303170_0, 8, 4;
    %set/v v0xa69dc0_0, 8, 4;
    %jmp T_26.118;
    %jmp T_26.115;
T_26.100 ;
T_26.99 ;
    %movi 8, 3, 4;
    %movi 8, 3, 4;
    %set/v v0x1303170_0, 8, 4;
    %set/v v0xa69dc0_0, 8, 4;
    %jmp T_26.118;
    %jmp T_26.115;
T_26.101 ;
T_26.100 ;
    %movi 8, 5, 4;
    %movi 8, 5, 4;
    %set/v v0x1303170_0, 8, 4;
    %set/v v0xa69dc0_0, 8, 4;
    %jmp T_26.118;
    %jmp T_26.115;
T_26.102 ;
T_26.101 ;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v0x1303610_0, 8, 4;
    %set/v v0xa6a260_0, 8, 4;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v0x13037d0_0, 8, 4;
    %set/v v0xa6a420_0, 8, 4;
    %set/v v0x1303170_0, 0, 4;
    %set/v v0xa69dc0_0, 0, 4;
    %jmp T_26.118;
    %jmp T_26.115;
T_26.103 ;
T_26.102 ;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v0x1303610_0, 8, 4;
    %set/v v0xa6a260_0, 8, 4;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v0x1303170_0, 8, 4;
    %set/v v0xa69dc0_0, 8, 4;
    %jmp T_26.118;
    %jmp T_26.115;
T_26.104 ;
T_26.103 ;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v0x1303610_0, 8, 4;
    %set/v v0xa6a260_0, 8, 4;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v0x1303170_0, 8, 4;
    %set/v v0xa69dc0_0, 8, 4;
    %jmp T_26.118;
    %jmp T_26.115;
T_26.105 ;
T_26.104 ;
    %movi 8, 12, 4;
    %movi 8, 12, 4;
    %set/v v0x1303610_0, 8, 4;
    %set/v v0xa6a260_0, 8, 4;
    %movi 8, 12, 4;
    %movi 8, 12, 4;
    %set/v v0x1303170_0, 8, 4;
    %set/v v0xa69dc0_0, 8, 4;
    %jmp T_26.118;
    %jmp T_26.115;
T_26.106 ;
T_26.105 ;
    %movi 8, 12, 4;
    %movi 8, 12, 4;
    %set/v v0x1303610_0, 8, 4;
    %set/v v0xa6a260_0, 8, 4;
    %movi 8, 12, 4;
    %movi 8, 12, 4;
    %set/v v0x1303170_0, 8, 4;
    %set/v v0xa69dc0_0, 8, 4;
    %jmp T_26.118;
    %jmp T_26.115;
T_26.107 ;
T_26.106 ;
    %load/v 8, v0x13033c0_0, 4; Only need 4 of 8 bits
    %load/v 8, v0xa6a010_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 15, 4;
    %cmpi/u 8, 15, 4;
    %jmp/1 T_26.123, 6;
    %jmp/1 T_26.120, 6;
    %movi 8, 12, 4;
    %movi 8, 12, 4;
    %set/v v0x1303610_0, 8, 4;
    %set/v v0xa6a260_0, 8, 4;
    %movi 8, 12, 4;
    %movi 8, 12, 4;
    %set/v v0x1303170_0, 8, 4;
    %set/v v0xa69dc0_0, 8, 4;
    %jmp T_26.125;
    %jmp T_26.122;
T_26.123 ;
T_26.120 ;
    %movi 8, 12, 4;
    %movi 8, 12, 4;
    %set/v v0x1303170_0, 8, 4;
    %set/v v0xa69dc0_0, 8, 4;
    %jmp T_26.125;
    %jmp T_26.122;
T_26.125 ;
T_26.122 ;
    %jmp T_26.118;
    %jmp T_26.115;
T_26.108 ;
T_26.107 ;
    %load/v 8, v0x13033c0_0, 4; Only need 4 of 8 bits
    %load/v 8, v0xa6a010_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 1, 4;
    %cmpi/u 8, 1, 4;
    %jmp/1 T_26.126, 6;
    %jmp/1 T_26.123, 6;
    %cmpi/u 8, 5, 4;
    %cmpi/u 8, 5, 4;
    %jmp/1 T_26.127, 6;
    %jmp/1 T_26.124, 6;
    %cmpi/u 8, 3, 4;
    %cmpi/u 8, 3, 4;
    %jmp/1 T_26.128, 6;
    %jmp/1 T_26.125, 6;
    %cmpi/u 8, 7, 4;
    %cmpi/u 8, 7, 4;
    %jmp/1 T_26.129, 6;
    %jmp/1 T_26.126, 6;
    %cmpi/u 8, 12, 4;
    %cmpi/u 8, 12, 4;
    %jmp/1 T_26.130, 6;
    %jmp/1 T_26.127, 6;
 
    %cmpi/u 8, 13, 4;
 
    %jmp/1 T_26.128, 6;
    %cmpi/u 8, 14, 4;
    %cmpi/u 8, 14, 4;
    %jmp/1 T_26.131, 6;
    %jmp/1 T_26.129, 6;
    %cmpi/u 8, 15, 4;
    %cmpi/u 8, 15, 4;
    %jmp/1 T_26.132, 6;
    %jmp/1 T_26.130, 6;
    %cmpi/u 8, 13, 4;
    %movi 8, 8, 4;
    %jmp/1 T_26.133, 6;
    %set/v v0xa6a260_0, 8, 4;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v0x1303610_0, 8, 4;
    %set/v v0xa69dc0_0, 8, 4;
 
    %jmp T_26.132;
 
T_26.123 ;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v0x1303170_0, 8, 4;
    %set/v v0xa6a260_0, 8, 4;
    %jmp T_26.135;
    %jmp T_26.132;
 
T_26.124 ;
 
    %movi 8, 8, 4;
 
    %set/v v0xa6a260_0, 8, 4;
 
    %jmp T_26.132;
 
T_26.125 ;
 
    %set/v v0xa6a260_0, 0, 4;
 
    %set/v v0xa69dc0_0, 0, 4;
 
    %jmp T_26.132;
T_26.126 ;
T_26.126 ;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v0x1303610_0, 8, 4;
    %set/v v0xa6a260_0, 8, 4;
    %jmp T_26.135;
    %movi 8, 12, 4;
 
    %set/v v0xa69dc0_0, 8, 4;
 
    %jmp T_26.132;
T_26.127 ;
T_26.127 ;
    %movi 8, 8, 4;
    %movi 8, 1, 4;
    %set/v v0x1303610_0, 8, 4;
    %set/v v0xa6a260_0, 8, 4;
    %jmp T_26.135;
    %jmp T_26.132;
T_26.128 ;
T_26.128 ;
    %set/v v0x1303610_0, 0, 4;
    %jmp T_26.132;
    %set/v v0x1303170_0, 0, 4;
 
    %jmp T_26.135;
 
T_26.129 ;
T_26.129 ;
    %movi 8, 8, 4;
 
    %set/v v0x1303610_0, 8, 4;
 
    %movi 8, 12, 4;
 
    %set/v v0x1303170_0, 8, 4;
 
    %jmp T_26.135;
 
T_26.130 ;
 
    %movi 8, 1, 4;
    %movi 8, 1, 4;
    %set/v v0x1303610_0, 8, 4;
    %set/v v0xa6a260_0, 8, 4;
    %jmp T_26.135;
 
T_26.131 ;
 
    %movi 8, 1, 4;
    %movi 8, 1, 4;
    %set/v v0x1303610_0, 8, 4;
    %set/v v0xa69dc0_0, 8, 4;
 
    %jmp T_26.132;
 
T_26.130 ;
    %movi 8, 1, 4;
    %movi 8, 1, 4;
    %set/v v0x1303170_0, 8, 4;
    %set/v v0xa6a260_0, 8, 4;
    %jmp T_26.135;
    %movi 8, 6, 4;
 
    %set/v v0xa69dc0_0, 8, 4;
 
    %jmp T_26.132;
T_26.132 ;
T_26.132 ;
    %movi 8, 1, 4;
    %jmp T_26.115;
    %set/v v0x1303610_0, 8, 4;
T_26.108 ;
    %movi 8, 1, 4;
    %load/v 8, v0xa6a010_0, 4; Only need 4 of 8 bits
    %set/v v0x1303170_0, 8, 4;
 
    %jmp T_26.135;
 
T_26.133 ;
 
    %jmp T_26.135;
 
T_26.135 ;
 
    %jmp T_26.118;
 
T_26.109 ;
 
    %load/v 8, v0x13033c0_0, 4; Only need 4 of 8 bits
 
; Save base=8 wid=4 in lookaside.
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 1, 4;
    %cmpi/u 8, 1, 4;
    %jmp/1 T_26.136, 6;
    %jmp/1 T_26.133, 6;
    %cmpi/u 8, 5, 4;
    %cmpi/u 8, 5, 4;
    %jmp/1 T_26.137, 6;
    %jmp/1 T_26.134, 6;
    %cmpi/u 8, 3, 4;
    %cmpi/u 8, 3, 4;
    %jmp/1 T_26.138, 6;
    %jmp/1 T_26.135, 6;
    %cmpi/u 8, 7, 4;
    %cmpi/u 8, 7, 4;
    %jmp/1 T_26.139, 6;
    %jmp/1 T_26.136, 6;
    %cmpi/u 8, 12, 4;
    %cmpi/u 8, 12, 4;
    %jmp/1 T_26.140, 6;
    %jmp/1 T_26.137, 6;
 
    %cmpi/u 8, 13, 4;
 
    %jmp/1 T_26.138, 6;
    %cmpi/u 8, 14, 4;
    %cmpi/u 8, 14, 4;
    %jmp/1 T_26.141, 6;
    %jmp/1 T_26.139, 6;
    %cmpi/u 8, 15, 4;
    %cmpi/u 8, 15, 4;
    %jmp/1 T_26.142, 6;
    %jmp/1 T_26.140, 6;
    %cmpi/u 8, 13, 4;
    %movi 8, 8, 4;
    %jmp/1 T_26.143, 6;
    %set/v v0xa6a260_0, 8, 4;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v0x1303610_0, 8, 4;
    %set/v v0xa69dc0_0, 8, 4;
 
    %jmp T_26.142;
 
T_26.133 ;
 
    %movi 8, 8, 4;
 
    %set/v v0xa6a260_0, 8, 4;
 
    %jmp T_26.142;
 
T_26.134 ;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v0x1303170_0, 8, 4;
    %set/v v0xa6a260_0, 8, 4;
    %jmp T_26.145;
    %jmp T_26.142;
 
T_26.135 ;
 
    %set/v v0xa6a260_0, 0, 4;
 
    %set/v v0xa69dc0_0, 0, 4;
 
    %jmp T_26.142;
T_26.136 ;
T_26.136 ;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v0x1303610_0, 8, 4;
    %set/v v0xa6a260_0, 8, 4;
    %jmp T_26.145;
    %movi 8, 12, 4;
 
    %set/v v0xa69dc0_0, 8, 4;
 
    %jmp T_26.142;
T_26.137 ;
T_26.137 ;
    %movi 8, 8, 4;
    %movi 8, 1, 4;
    %set/v v0x1303610_0, 8, 4;
    %set/v v0xa6a260_0, 8, 4;
    %jmp T_26.145;
    %jmp T_26.142;
T_26.138 ;
T_26.138 ;
    %set/v v0x1303610_0, 0, 4;
    %jmp T_26.142;
    %set/v v0x1303170_0, 0, 4;
 
    %jmp T_26.145;
 
T_26.139 ;
T_26.139 ;
    %movi 8, 8, 4;
 
    %set/v v0x1303610_0, 8, 4;
 
    %movi 8, 12, 4;
 
    %set/v v0x1303170_0, 8, 4;
 
    %jmp T_26.145;
 
T_26.140 ;
 
    %movi 8, 1, 4;
    %movi 8, 1, 4;
    %set/v v0x1303610_0, 8, 4;
    %set/v v0xa6a260_0, 8, 4;
    %jmp T_26.145;
 
T_26.141 ;
 
    %movi 8, 1, 4;
    %movi 8, 1, 4;
    %set/v v0x1303610_0, 8, 4;
    %set/v v0xa69dc0_0, 8, 4;
 
    %jmp T_26.142;
 
T_26.140 ;
    %movi 8, 1, 4;
    %movi 8, 1, 4;
    %set/v v0x1303170_0, 8, 4;
    %set/v v0xa6a260_0, 8, 4;
    %jmp T_26.145;
    %movi 8, 6, 4;
 
    %set/v v0xa69dc0_0, 8, 4;
 
    %jmp T_26.142;
T_26.142 ;
T_26.142 ;
    %movi 8, 1, 4;
    %jmp T_26.115;
    %set/v v0x1303610_0, 8, 4;
T_26.109 ;
    %movi 8, 1, 4;
    %load/v 8, v0xa6a010_0, 4; Only need 4 of 8 bits
    %set/v v0x1303170_0, 8, 4;
 
    %jmp T_26.145;
 
T_26.143 ;
 
    %jmp T_26.145;
 
T_26.145 ;
 
    %jmp T_26.118;
 
T_26.110 ;
 
    %load/v 8, v0x13033c0_0, 4; Only need 4 of 8 bits
 
; Save base=8 wid=4 in lookaside.
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 1, 4;
    %cmpi/u 8, 1, 4;
    %jmp/1 T_26.146, 6;
    %jmp/1 T_26.143, 6;
    %cmpi/u 8, 5, 4;
    %cmpi/u 8, 5, 4;
    %jmp/1 T_26.147, 6;
    %jmp/1 T_26.144, 6;
    %cmpi/u 8, 3, 4;
    %cmpi/u 8, 3, 4;
    %jmp/1 T_26.148, 6;
    %jmp/1 T_26.145, 6;
    %cmpi/u 8, 7, 4;
    %cmpi/u 8, 7, 4;
    %jmp/1 T_26.149, 6;
    %jmp/1 T_26.146, 6;
    %cmpi/u 8, 12, 4;
    %cmpi/u 8, 12, 4;
    %jmp/1 T_26.150, 6;
    %jmp/1 T_26.147, 6;
 
    %cmpi/u 8, 13, 4;
 
    %jmp/1 T_26.148, 6;
    %cmpi/u 8, 14, 4;
    %cmpi/u 8, 14, 4;
    %jmp/1 T_26.151, 6;
    %jmp/1 T_26.149, 6;
    %cmpi/u 8, 15, 4;
    %cmpi/u 8, 15, 4;
    %jmp/1 T_26.152, 6;
    %jmp/1 T_26.150, 6;
    %cmpi/u 8, 13, 4;
    %movi 8, 8, 4;
    %jmp/1 T_26.153, 6;
    %set/v v0xa6a260_0, 8, 4;
 
    %movi 8, 8, 4;
 
    %set/v v0xa69dc0_0, 8, 4;
 
    %jmp T_26.152;
 
T_26.143 ;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v0x1303610_0, 8, 4;
    %set/v v0xa6a260_0, 8, 4;
 
    %jmp T_26.152;
 
T_26.144 ;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v0x1303170_0, 8, 4;
    %set/v v0xa6a260_0, 8, 4;
    %jmp T_26.155;
    %jmp T_26.152;
 
T_26.145 ;
 
    %set/v v0xa6a260_0, 0, 4;
 
    %set/v v0xa69dc0_0, 0, 4;
 
    %jmp T_26.152;
T_26.146 ;
T_26.146 ;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v0x1303610_0, 8, 4;
    %set/v v0xa6a260_0, 8, 4;
    %jmp T_26.155;
    %movi 8, 12, 4;
 
    %set/v v0xa69dc0_0, 8, 4;
 
    %jmp T_26.152;
T_26.147 ;
T_26.147 ;
    %movi 8, 8, 4;
    %movi 8, 1, 4;
    %set/v v0x1303610_0, 8, 4;
    %set/v v0xa6a260_0, 8, 4;
    %jmp T_26.155;
    %jmp T_26.152;
T_26.148 ;
T_26.148 ;
    %set/v v0x1303610_0, 0, 4;
    %jmp T_26.152;
    %set/v v0x1303170_0, 0, 4;
 
    %jmp T_26.155;
 
T_26.149 ;
T_26.149 ;
    %movi 8, 8, 4;
 
    %set/v v0x1303610_0, 8, 4;
 
    %movi 8, 12, 4;
 
    %set/v v0x1303170_0, 8, 4;
 
    %jmp T_26.155;
 
T_26.150 ;
 
    %movi 8, 1, 4;
    %movi 8, 1, 4;
    %set/v v0x1303610_0, 8, 4;
    %set/v v0xa6a260_0, 8, 4;
    %jmp T_26.155;
 
T_26.151 ;
 
    %movi 8, 1, 4;
    %movi 8, 1, 4;
    %set/v v0x1303610_0, 8, 4;
    %set/v v0xa69dc0_0, 8, 4;
 
    %jmp T_26.152;
 
T_26.150 ;
    %movi 8, 1, 4;
    %movi 8, 1, 4;
    %set/v v0x1303170_0, 8, 4;
    %set/v v0xa6a260_0, 8, 4;
    %jmp T_26.155;
    %movi 8, 6, 4;
 
    %set/v v0xa69dc0_0, 8, 4;
 
    %jmp T_26.152;
T_26.152 ;
T_26.152 ;
    %movi 8, 1, 4;
    %jmp T_26.115;
    %set/v v0x1303610_0, 8, 4;
T_26.110 ;
    %movi 8, 1, 4;
    %load/v 8, v0xa6a010_0, 4; Only need 4 of 8 bits
    %set/v v0x1303170_0, 8, 4;
 
    %jmp T_26.155;
 
T_26.153 ;
 
    %jmp T_26.155;
 
T_26.155 ;
 
    %jmp T_26.118;
 
T_26.111 ;
 
    %load/v 8, v0x13033c0_0, 4; Only need 4 of 8 bits
 
; Save base=8 wid=4 in lookaside.
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 1, 4;
    %cmpi/u 8, 1, 4;
    %jmp/1 T_26.156, 6;
    %jmp/1 T_26.153, 6;
    %cmpi/u 8, 5, 4;
    %cmpi/u 8, 5, 4;
    %jmp/1 T_26.157, 6;
    %jmp/1 T_26.154, 6;
    %cmpi/u 8, 3, 4;
    %cmpi/u 8, 3, 4;
    %jmp/1 T_26.158, 6;
    %jmp/1 T_26.155, 6;
    %cmpi/u 8, 7, 4;
    %cmpi/u 8, 7, 4;
    %jmp/1 T_26.159, 6;
    %jmp/1 T_26.156, 6;
    %cmpi/u 8, 12, 4;
    %cmpi/u 8, 12, 4;
    %jmp/1 T_26.160, 6;
    %jmp/1 T_26.157, 6;
 
    %cmpi/u 8, 13, 4;
 
    %jmp/1 T_26.158, 6;
    %cmpi/u 8, 14, 4;
    %cmpi/u 8, 14, 4;
    %jmp/1 T_26.161, 6;
    %jmp/1 T_26.159, 6;
    %cmpi/u 8, 15, 4;
    %cmpi/u 8, 15, 4;
    %jmp/1 T_26.162, 6;
    %jmp/1 T_26.160, 6;
    %cmpi/u 8, 13, 4;
    %movi 8, 8, 4;
    %jmp/1 T_26.163, 6;
    %set/v v0xa6a260_0, 8, 4;
 
    %movi 8, 8, 4;
 
    %set/v v0xa69dc0_0, 8, 4;
 
    %jmp T_26.162;
 
T_26.153 ;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v0x1303610_0, 8, 4;
    %set/v v0xa6a260_0, 8, 4;
 
    %jmp T_26.162;
 
T_26.154 ;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v0x1303170_0, 8, 4;
    %set/v v0xa6a260_0, 8, 4;
    %jmp T_26.165;
    %jmp T_26.162;
 
T_26.155 ;
 
    %set/v v0xa6a260_0, 0, 4;
 
    %set/v v0xa69dc0_0, 0, 4;
 
    %jmp T_26.162;
T_26.156 ;
T_26.156 ;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %set/v v0x1303610_0, 8, 4;
    %set/v v0xa6a260_0, 8, 4;
    %jmp T_26.165;
    %movi 8, 12, 4;
 
    %set/v v0xa69dc0_0, 8, 4;
 
    %jmp T_26.162;
T_26.157 ;
T_26.157 ;
    %movi 8, 8, 4;
    %movi 8, 1, 4;
    %set/v v0x1303610_0, 8, 4;
    %set/v v0xa6a260_0, 8, 4;
    %jmp T_26.165;
    %jmp T_26.162;
T_26.158 ;
T_26.158 ;
    %set/v v0x1303610_0, 0, 4;
    %jmp T_26.162;
    %set/v v0x1303170_0, 0, 4;
 
    %jmp T_26.165;
 
T_26.159 ;
T_26.159 ;
    %movi 8, 8, 4;
 
    %set/v v0x1303610_0, 8, 4;
 
    %movi 8, 12, 4;
 
    %set/v v0x1303170_0, 8, 4;
 
    %jmp T_26.165;
 
T_26.160 ;
 
    %movi 8, 1, 4;
    %movi 8, 1, 4;
    %set/v v0x1303610_0, 8, 4;
    %set/v v0xa6a260_0, 8, 4;
    %jmp T_26.165;
 
T_26.161 ;
 
    %movi 8, 1, 4;
    %movi 8, 1, 4;
    %set/v v0x1303610_0, 8, 4;
    %set/v v0xa69dc0_0, 8, 4;
 
    %jmp T_26.162;
 
T_26.160 ;
    %movi 8, 1, 4;
    %movi 8, 1, 4;
    %set/v v0x1303170_0, 8, 4;
    %set/v v0xa6a260_0, 8, 4;
    %jmp T_26.165;
    %movi 8, 6, 4;
 
    %set/v v0xa69dc0_0, 8, 4;
 
    %jmp T_26.162;
T_26.162 ;
T_26.162 ;
    %movi 8, 1, 4;
    %jmp T_26.115;
    %set/v v0x1303610_0, 8, 4;
T_26.111 ;
    %movi 8, 1, 4;
    %load/v 8, v0xa6a010_0, 4; Only need 4 of 8 bits
    %set/v v0x1303170_0, 8, 4;
 
    %jmp T_26.165;
 
T_26.163 ;
 
    %jmp T_26.165;
 
T_26.165 ;
 
    %jmp T_26.118;
 
T_26.112 ;
 
    %load/v 8, v0x13033c0_0, 4; Only need 4 of 8 bits
 
; Save base=8 wid=4 in lookaside.
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 1, 4;
    %cmpi/u 8, 1, 4;
    %jmp/1 T_26.166, 6;
    %jmp/1 T_26.163, 6;
    %cmpi/u 8, 5, 4;
    %cmpi/u 8, 5, 4;
    %jmp/1 T_26.167, 6;
    %jmp/1 T_26.164, 6;
    %cmpi/u 8, 3, 4;
    %cmpi/u 8, 3, 4;
    %jmp/1 T_26.168, 6;
    %jmp/1 T_26.165, 6;
    %cmpi/u 8, 7, 4;
 
    %jmp/1 T_26.169, 6;
 
    %cmpi/u 8, 12, 4;
    %cmpi/u 8, 12, 4;
    %jmp/1 T_26.170, 6;
    %jmp/1 T_26.166, 6;
 
    %cmpi/u 8, 7, 4;
 
    %jmp/1 T_26.167, 6;
 
    %cmpi/u 8, 13, 4;
 
    %jmp/1 T_26.168, 6;
    %cmpi/u 8, 14, 4;
    %cmpi/u 8, 14, 4;
    %jmp/1 T_26.171, 6;
    %jmp/1 T_26.169, 6;
    %cmpi/u 8, 15, 4;
    %cmpi/u 8, 15, 4;
    %jmp/1 T_26.172, 6;
    %jmp/1 T_26.170, 6;
    %cmpi/u 8, 13, 4;
    %movi 8, 9, 4;
    %jmp/1 T_26.173, 6;
    %set/v v0xa6a260_0, 8, 4;
    %movi 8, 8, 4;
    %movi 8, 9, 4;
    %set/v v0x1303610_0, 8, 4;
    %set/v v0xa69dc0_0, 8, 4;
    %movi 8, 8, 4;
    %jmp T_26.172;
    %set/v v0x1303170_0, 8, 4;
T_26.163 ;
    %jmp T_26.175;
    %movi 8, 9, 4;
 
    %set/v v0xa6a260_0, 8, 4;
 
    %jmp T_26.172;
 
T_26.164 ;
 
    %movi 8, 9, 4;
 
    %set/v v0xa6a260_0, 8, 4;
 
    %jmp T_26.172;
 
T_26.165 ;
 
    %set/v v0xa6a260_0, 0, 4;
 
    %set/v v0xa69dc0_0, 0, 4;
 
    %jmp T_26.172;
T_26.166 ;
T_26.166 ;
    %movi 8, 8, 4;
    %set/v v0xa6a260_0, 0, 4;
    %set/v v0x1303610_0, 8, 4;
    %set/v v0xa69dc0_0, 0, 4;
    %jmp T_26.175;
    %jmp T_26.172;
T_26.167 ;
T_26.167 ;
    %movi 8, 8, 4;
    %movi 8, 9, 4;
    %set/v v0x1303610_0, 8, 4;
    %set/v v0xa6a260_0, 8, 4;
    %jmp T_26.175;
    %movi 8, 12, 4;
 
    %set/v v0xa69dc0_0, 8, 4;
 
    %jmp T_26.172;
T_26.168 ;
T_26.168 ;
    %set/v v0x1303610_0, 0, 4;
    %set/v v0xa6a260_0, 0, 4;
    %set/v v0x1303170_0, 0, 4;
    %jmp T_26.172;
    %jmp T_26.175;
 
T_26.169 ;
T_26.169 ;
    %movi 8, 8, 4;
    %movi 8, 3, 4;
    %set/v v0x1303610_0, 8, 4;
    %set/v v0xa6a260_0, 8, 4;
    %movi 8, 12, 4;
    %movi 8, 3, 4;
    %set/v v0x1303170_0, 8, 4;
    %set/v v0xa69dc0_0, 8, 4;
    %jmp T_26.175;
    %jmp T_26.172;
T_26.170 ;
T_26.170 ;
    %movi 8, 1, 4;
    %movi 8, 3, 4;
    %set/v v0x1303610_0, 8, 4;
    %set/v v0xa6a260_0, 8, 4;
    %jmp T_26.175;
    %movi 8, 6, 4;
T_26.171 ;
    %set/v v0xa69dc0_0, 8, 4;
    %movi 8, 1, 4;
    %jmp T_26.172;
    %set/v v0x1303610_0, 8, 4;
 
    %movi 8, 1, 4;
 
    %set/v v0x1303170_0, 8, 4;
 
    %jmp T_26.175;
 
T_26.172 ;
T_26.172 ;
    %movi 8, 1, 4;
    %jmp T_26.115;
    %set/v v0x1303610_0, 8, 4;
T_26.112 ;
    %movi 8, 1, 4;
    %load/v 8, v0xa6a010_0, 4; Only need 4 of 8 bits
    %set/v v0x1303170_0, 8, 4;
 
    %jmp T_26.175;
 
T_26.173 ;
 
    %jmp T_26.175;
 
T_26.175 ;
 
    %jmp T_26.118;
 
T_26.113 ;
 
    %load/v 8, v0x13033c0_0, 4; Only need 4 of 8 bits
 
; Save base=8 wid=4 in lookaside.
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 1, 4;
    %cmpi/u 8, 1, 4;
    %jmp/1 T_26.176, 6;
    %jmp/1 T_26.173, 6;
    %cmpi/u 8, 5, 4;
    %cmpi/u 8, 5, 4;
    %jmp/1 T_26.177, 6;
    %jmp/1 T_26.174, 6;
    %cmpi/u 8, 3, 4;
    %cmpi/u 8, 3, 4;
    %jmp/1 T_26.178, 6;
    %jmp/1 T_26.175, 6;
    %cmpi/u 8, 12, 4;
    %cmpi/u 8, 12, 4;
    %jmp/1 T_26.179, 6;
    %jmp/1 T_26.176, 6;
    %cmpi/u 8, 7, 4;
    %cmpi/u 8, 7, 4;
    %jmp/1 T_26.180, 6;
    %jmp/1 T_26.177, 6;
 
    %cmpi/u 8, 13, 4;
 
    %jmp/1 T_26.178, 6;
    %cmpi/u 8, 14, 4;
    %cmpi/u 8, 14, 4;
    %jmp/1 T_26.181, 6;
    %jmp/1 T_26.179, 6;
    %cmpi/u 8, 15, 4;
    %cmpi/u 8, 15, 4;
    %jmp/1 T_26.182, 6;
    %jmp/1 T_26.180, 6;
    %cmpi/u 8, 13, 4;
 
    %jmp/1 T_26.183, 6;
 
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v0x1303610_0, 8, 4;
    %set/v v0xa6a260_0, 8, 4;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v0x1303170_0, 8, 4;
    %set/v v0xa69dc0_0, 8, 4;
    %jmp T_26.185;
    %jmp T_26.182;
T_26.176 ;
T_26.173 ;
 
    %movi 8, 9, 4;
 
    %set/v v0xa6a260_0, 8, 4;
 
    %jmp T_26.182;
 
T_26.174 ;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v0x1303610_0, 8, 4;
    %set/v v0xa6a260_0, 8, 4;
    %jmp T_26.185;
    %jmp T_26.182;
 
T_26.175 ;
 
    %set/v v0xa6a260_0, 0, 4;
 
    %set/v v0xa69dc0_0, 0, 4;
 
    %jmp T_26.182;
 
T_26.176 ;
 
    %set/v v0xa6a260_0, 0, 4;
 
    %set/v v0xa69dc0_0, 0, 4;
 
    %jmp T_26.182;
T_26.177 ;
T_26.177 ;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v0x1303610_0, 8, 4;
    %set/v v0xa6a260_0, 8, 4;
    %jmp T_26.185;
    %movi 8, 12, 4;
 
    %set/v v0xa69dc0_0, 8, 4;
 
    %jmp T_26.182;
T_26.178 ;
T_26.178 ;
    %set/v v0x1303610_0, 0, 4;
    %set/v v0xa6a260_0, 0, 4;
    %set/v v0x1303170_0, 0, 4;
    %jmp T_26.182;
    %jmp T_26.185;
 
T_26.179 ;
T_26.179 ;
    %set/v v0x1303610_0, 0, 4;
    %movi 8, 3, 4;
    %set/v v0x1303170_0, 0, 4;
    %set/v v0xa6a260_0, 8, 4;
    %jmp T_26.185;
    %movi 8, 3, 4;
 
    %set/v v0xa69dc0_0, 8, 4;
 
    %jmp T_26.182;
T_26.180 ;
T_26.180 ;
    %movi 8, 9, 4;
 
    %set/v v0x1303610_0, 8, 4;
 
    %movi 8, 12, 4;
 
    %set/v v0x1303170_0, 8, 4;
 
    %jmp T_26.185;
 
T_26.181 ;
 
    %movi 8, 3, 4;
    %movi 8, 3, 4;
    %set/v v0x1303610_0, 8, 4;
    %set/v v0xa6a260_0, 8, 4;
    %movi 8, 1, 4;
    %movi 8, 6, 4;
    %set/v v0x1303170_0, 8, 4;
    %set/v v0xa69dc0_0, 8, 4;
    %jmp T_26.185;
    %jmp T_26.182;
T_26.182 ;
T_26.182 ;
    %movi 8, 1, 4;
    %jmp T_26.115;
    %set/v v0x1303610_0, 8, 4;
T_26.113 ;
    %movi 8, 1, 4;
    %load/v 8, v0xa6a010_0, 4; Only need 4 of 8 bits
    %set/v v0x1303170_0, 8, 4;
 
    %jmp T_26.185;
 
T_26.183 ;
 
    %set/v v0x1303610_0, 0, 4;
 
    %jmp T_26.185;
 
T_26.185 ;
 
    %jmp T_26.118;
 
T_26.114 ;
 
    %load/v 8, v0x13033c0_0, 4; Only need 4 of 8 bits
 
; Save base=8 wid=4 in lookaside.
 
    %cmpi/u 8, 1, 4;
 
    %jmp/1 T_26.186, 6;
 
    %cmpi/u 8, 5, 4;
 
    %jmp/1 T_26.187, 6;
 
    %cmpi/u 8, 3, 4;
 
    %jmp/1 T_26.188, 6;
 
    %cmpi/u 8, 12, 4;
 
    %jmp/1 T_26.189, 6;
 
    %cmpi/u 8, 7, 4;
 
    %jmp/1 T_26.190, 6;
 
    %cmpi/u 8, 14, 4;
 
    %jmp/1 T_26.191, 6;
 
    %cmpi/u 8, 15, 4;
 
    %jmp/1 T_26.192, 6;
 
    %cmpi/u 8, 13, 4;
 
    %jmp/1 T_26.193, 6;
 
    %movi 8, 9, 4;
 
    %set/v v0x1303610_0, 8, 4;
 
    %movi 8, 9, 4;
 
    %set/v v0x1303170_0, 8, 4;
 
    %jmp T_26.195;
 
T_26.186 ;
 
    %movi 8, 9, 4;
 
    %set/v v0x1303610_0, 8, 4;
 
    %jmp T_26.195;
 
T_26.187 ;
 
    %movi 8, 9, 4;
 
    %set/v v0x1303610_0, 8, 4;
 
    %jmp T_26.195;
 
T_26.188 ;
 
    %set/v v0x1303610_0, 0, 4;
 
    %set/v v0x1303170_0, 0, 4;
 
    %jmp T_26.195;
 
T_26.189 ;
 
    %set/v v0x1303610_0, 0, 4;
 
    %set/v v0x1303170_0, 0, 4;
 
    %jmp T_26.195;
 
T_26.190 ;
 
    %movi 8, 9, 4;
 
    %set/v v0x1303610_0, 8, 4;
 
    %movi 8, 12, 4;
 
    %set/v v0x1303170_0, 8, 4;
 
    %jmp T_26.195;
 
T_26.191 ;
 
    %movi 8, 3, 4;
 
    %set/v v0x1303610_0, 8, 4;
 
    %movi 8, 1, 4;
 
    %set/v v0x1303170_0, 8, 4;
 
    %jmp T_26.195;
 
T_26.192 ;
 
    %movi 8, 1, 4;
 
    %set/v v0x1303610_0, 8, 4;
 
    %movi 8, 1, 4;
 
    %set/v v0x1303170_0, 8, 4;
 
    %jmp T_26.195;
 
T_26.193 ;
 
    %set/v v0x1303610_0, 0, 4;
 
    %jmp T_26.195;
 
T_26.195 ;
 
    %jmp T_26.118;
 
T_26.115 ;
 
    %load/v 8, v0x13033c0_0, 4; Only need 4 of 8 bits
 
; Save base=8 wid=4 in lookaside.
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 1, 4;
    %cmpi/u 8, 1, 4;
    %jmp/1 T_26.196, 6;
    %jmp/1 T_26.183, 6;
    %cmpi/u 8, 5, 4;
    %cmpi/u 8, 5, 4;
    %jmp/1 T_26.197, 6;
    %jmp/1 T_26.184, 6;
    %cmpi/u 8, 3, 4;
    %cmpi/u 8, 3, 4;
    %jmp/1 T_26.198, 6;
    %jmp/1 T_26.185, 6;
    %cmpi/u 8, 12, 4;
    %cmpi/u 8, 12, 4;
    %jmp/1 T_26.199, 6;
    %jmp/1 T_26.186, 6;
    %cmpi/u 8, 7, 4;
    %cmpi/u 8, 7, 4;
    %jmp/1 T_26.200, 6;
    %jmp/1 T_26.187, 6;
    %cmpi/u 8, 14, 4;
 
    %jmp/1 T_26.201, 6;
 
    %cmpi/u 8, 15, 4;
 
    %jmp/1 T_26.202, 6;
 
    %cmpi/u 8, 13, 4;
    %cmpi/u 8, 13, 4;
    %jmp/1 T_26.203, 6;
    %jmp/1 T_26.188, 6;
    %movi 8, 9, 4;
 
    %set/v v0x1303610_0, 8, 4;
 
    %movi 8, 9, 4;
 
    %set/v v0x1303170_0, 8, 4;
 
    %jmp T_26.205;
 
T_26.196 ;
 
    %movi 8, 9, 4;
 
    %set/v v0x1303610_0, 8, 4;
 
    %jmp T_26.205;
 
T_26.197 ;
 
    %movi 8, 9, 4;
 
    %set/v v0x1303610_0, 8, 4;
 
    %jmp T_26.205;
 
T_26.198 ;
 
    %set/v v0x1303610_0, 0, 4;
 
    %set/v v0x1303170_0, 0, 4;
 
    %jmp T_26.205;
 
T_26.199 ;
 
    %set/v v0x1303610_0, 0, 4;
 
    %set/v v0x1303170_0, 0, 4;
 
    %jmp T_26.205;
 
T_26.200 ;
 
    %movi 8, 9, 4;
 
    %set/v v0x1303610_0, 8, 4;
 
    %movi 8, 12, 4;
 
    %set/v v0x1303170_0, 8, 4;
 
    %jmp T_26.205;
 
T_26.201 ;
 
    %movi 8, 3, 4;
 
    %set/v v0x1303610_0, 8, 4;
 
    %movi 8, 1, 4;
 
    %set/v v0x1303170_0, 8, 4;
 
    %jmp T_26.205;
 
T_26.202 ;
 
    %movi 8, 1, 4;
 
    %set/v v0x1303610_0, 8, 4;
 
    %movi 8, 1, 4;
 
    %set/v v0x1303170_0, 8, 4;
 
    %jmp T_26.205;
 
T_26.203 ;
 
    %set/v v0x1303610_0, 0, 4;
 
    %jmp T_26.205;
 
T_26.205 ;
 
    %jmp T_26.118;
 
T_26.116 ;
 
    %load/v 8, v0x13033c0_0, 4; Only need 4 of 8 bits
 
; Save base=8 wid=4 in lookaside.
 
    %cmpi/u 8, 1, 4;
 
    %jmp/1 T_26.206, 6;
 
    %cmpi/u 8, 5, 4;
 
    %jmp/1 T_26.207, 6;
 
    %cmpi/u 8, 3, 4;
 
    %jmp/1 T_26.208, 6;
 
    %cmpi/u 8, 12, 4;
 
    %jmp/1 T_26.209, 6;
 
    %cmpi/u 8, 7, 4;
 
    %jmp/1 T_26.210, 6;
 
    %cmpi/u 8, 14, 4;
    %cmpi/u 8, 14, 4;
    %jmp/1 T_26.211, 6;
    %jmp/1 T_26.189, 6;
    %cmpi/u 8, 15, 4;
    %cmpi/u 8, 15, 4;
    %jmp/1 T_26.212, 6;
    %jmp/1 T_26.190, 6;
    %cmpi/u 8, 13, 4;
 
    %jmp/1 T_26.213, 6;
 
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v0x1303610_0, 8, 4;
    %set/v v0xa6a260_0, 8, 4;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v0x1303170_0, 8, 4;
    %set/v v0xa69dc0_0, 8, 4;
    %jmp T_26.215;
    %jmp T_26.192;
T_26.206 ;
T_26.183 ;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v0x1303610_0, 8, 4;
    %set/v v0xa6a260_0, 8, 4;
    %jmp T_26.215;
    %jmp T_26.192;
T_26.207 ;
T_26.184 ;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v0x1303610_0, 8, 4;
    %set/v v0xa6a260_0, 8, 4;
    %jmp T_26.215;
    %jmp T_26.192;
T_26.208 ;
T_26.185 ;
    %set/v v0x1303610_0, 0, 4;
    %set/v v0xa6a260_0, 0, 4;
    %set/v v0x1303170_0, 0, 4;
    %set/v v0xa69dc0_0, 0, 4;
    %jmp T_26.215;
    %jmp T_26.192;
T_26.209 ;
T_26.186 ;
    %set/v v0x1303610_0, 0, 4;
    %set/v v0xa6a260_0, 0, 4;
    %set/v v0x1303170_0, 0, 4;
    %set/v v0xa69dc0_0, 0, 4;
    %jmp T_26.215;
    %jmp T_26.192;
T_26.210 ;
T_26.187 ;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v0x1303610_0, 8, 4;
    %set/v v0xa6a260_0, 8, 4;
    %movi 8, 12, 4;
    %movi 8, 12, 4;
    %set/v v0x1303170_0, 8, 4;
    %set/v v0xa69dc0_0, 8, 4;
    %jmp T_26.215;
    %jmp T_26.192;
T_26.211 ;
T_26.188 ;
 
    %set/v v0xa6a260_0, 0, 4;
 
    %jmp T_26.192;
 
T_26.189 ;
    %movi 8, 3, 4;
    %movi 8, 3, 4;
    %set/v v0x1303610_0, 8, 4;
    %set/v v0xa6a260_0, 8, 4;
    %movi 8, 1, 4;
    %movi 8, 3, 4;
    %set/v v0x1303170_0, 8, 4;
    %set/v v0xa69dc0_0, 8, 4;
    %jmp T_26.215;
    %jmp T_26.192;
T_26.212 ;
T_26.190 ;
    %movi 8, 1, 4;
    %movi 8, 3, 4;
    %set/v v0x1303610_0, 8, 4;
    %set/v v0xa6a260_0, 8, 4;
    %movi 8, 1, 4;
    %movi 8, 6, 4;
    %set/v v0x1303170_0, 8, 4;
    %set/v v0xa69dc0_0, 8, 4;
    %jmp T_26.215;
    %jmp T_26.192;
T_26.213 ;
T_26.192 ;
    %set/v v0x1303610_0, 0, 4;
    %jmp T_26.115;
    %jmp T_26.215;
T_26.114 ;
T_26.215 ;
    %load/v 8, v0xa6a010_0, 4; Only need 4 of 8 bits
    %jmp T_26.118;
 
T_26.117 ;
 
    %load/v 8, v0x13033c0_0, 4; Only need 4 of 8 bits
 
; Save base=8 wid=4 in lookaside.
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 1, 4;
    %cmpi/u 8, 1, 4;
    %jmp/1 T_26.216, 6;
    %jmp/1 T_26.193, 6;
    %cmpi/u 8, 5, 4;
    %cmpi/u 8, 5, 4;
    %jmp/1 T_26.217, 6;
    %jmp/1 T_26.194, 6;
    %cmpi/u 8, 3, 4;
    %cmpi/u 8, 3, 4;
    %jmp/1 T_26.218, 6;
    %jmp/1 T_26.195, 6;
    %cmpi/u 8, 12, 4;
    %cmpi/u 8, 12, 4;
    %jmp/1 T_26.219, 6;
    %jmp/1 T_26.196, 6;
    %cmpi/u 8, 7, 4;
    %cmpi/u 8, 7, 4;
    %jmp/1 T_26.220, 6;
    %jmp/1 T_26.197, 6;
 
    %cmpi/u 8, 13, 4;
 
    %jmp/1 T_26.198, 6;
    %cmpi/u 8, 14, 4;
    %cmpi/u 8, 14, 4;
    %jmp/1 T_26.221, 6;
    %jmp/1 T_26.199, 6;
    %cmpi/u 8, 15, 4;
    %cmpi/u 8, 15, 4;
    %jmp/1 T_26.222, 6;
    %jmp/1 T_26.200, 6;
    %cmpi/u 8, 13, 4;
 
    %jmp/1 T_26.223, 6;
 
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v0x1303610_0, 8, 4;
    %set/v v0xa6a260_0, 8, 4;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v0x1303170_0, 8, 4;
    %set/v v0xa69dc0_0, 8, 4;
    %jmp T_26.225;
    %jmp T_26.202;
T_26.216 ;
T_26.193 ;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v0x1303610_0, 8, 4;
    %set/v v0xa6a260_0, 8, 4;
    %jmp T_26.225;
    %jmp T_26.202;
T_26.217 ;
T_26.194 ;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v0x1303610_0, 8, 4;
    %set/v v0xa6a260_0, 8, 4;
    %jmp T_26.225;
    %jmp T_26.202;
T_26.218 ;
T_26.195 ;
    %set/v v0x1303610_0, 0, 4;
    %set/v v0xa6a260_0, 0, 4;
    %set/v v0x1303170_0, 0, 4;
    %set/v v0xa69dc0_0, 0, 4;
    %jmp T_26.225;
    %jmp T_26.202;
T_26.219 ;
T_26.196 ;
    %set/v v0x1303610_0, 0, 4;
    %set/v v0xa6a260_0, 0, 4;
    %set/v v0x1303170_0, 0, 4;
    %set/v v0xa69dc0_0, 0, 4;
    %jmp T_26.225;
    %jmp T_26.202;
T_26.220 ;
T_26.197 ;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %set/v v0x1303610_0, 8, 4;
    %set/v v0xa6a260_0, 8, 4;
    %movi 8, 12, 4;
    %movi 8, 12, 4;
    %set/v v0x1303170_0, 8, 4;
    %set/v v0xa69dc0_0, 8, 4;
    %jmp T_26.225;
    %jmp T_26.202;
T_26.221 ;
T_26.198 ;
 
    %set/v v0xa6a260_0, 0, 4;
 
    %jmp T_26.202;
 
T_26.199 ;
    %movi 8, 3, 4;
    %movi 8, 3, 4;
    %set/v v0x1303610_0, 8, 4;
    %set/v v0xa6a260_0, 8, 4;
    %movi 8, 1, 4;
    %movi 8, 3, 4;
    %set/v v0x1303170_0, 8, 4;
    %set/v v0xa69dc0_0, 8, 4;
    %jmp T_26.225;
    %jmp T_26.202;
T_26.222 ;
T_26.200 ;
    %movi 8, 1, 4;
    %movi 8, 3, 4;
    %set/v v0x1303610_0, 8, 4;
    %set/v v0xa6a260_0, 8, 4;
    %movi 8, 1, 4;
    %movi 8, 6, 4;
    %set/v v0x1303170_0, 8, 4;
    %set/v v0xa69dc0_0, 8, 4;
    %jmp T_26.225;
    %jmp T_26.202;
T_26.223 ;
T_26.202 ;
    %set/v v0x1303610_0, 0, 4;
    %jmp T_26.115;
    %jmp T_26.225;
T_26.115 ;
T_26.225 ;
    %load/v 8, v0xa6a010_0, 8;
    %jmp T_26.118;
 
T_26.118 ;
 
    %load/v 8, v0x13033c0_0, 8;
 
    %mov 16, 2, 1;
    %mov 16, 2, 1;
    %movi 17, 0, 5;
    %movi 17, 0, 5;
    %mov 22, 2, 1;
    %mov 22, 2, 1;
    %movi 23, 1, 1;
    %movi 23, 1, 1;
    %cmp/x 8, 16, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_26.226, 4;
    %jmp/1 T_26.203, 4;
    %movi 24, 2, 6;
    %movi 24, 2, 6;
    %mov 30, 2, 1;
    %mov 30, 2, 1;
    %movi 31, 1, 1;
    %movi 31, 1, 1;
    %cmp/x 8, 24, 8;
    %cmp/x 8, 24, 8;
    %jmp/1 T_26.227, 4;
    %jmp/1 T_26.204, 4;
    %movi 32, 3, 6;
    %movi 32, 3, 6;
    %mov 38, 2, 1;
    %mov 38, 2, 1;
    %movi 39, 1, 1;
    %movi 39, 1, 1;
    %cmp/x 8, 32, 8;
    %cmp/x 8, 32, 8;
    %jmp/1 T_26.228, 4;
    %jmp/1 T_26.205, 4;
    %movi 40, 0, 1;
    %movi 40, 0, 1;
    %mov 41, 2, 1;
    %mov 41, 2, 1;
    %movi 42, 3, 4;
    %movi 42, 3, 4;
    %mov 46, 2, 1;
    %mov 46, 2, 1;
    %movi 47, 1, 1;
    %movi 47, 1, 1;
    %cmp/x 8, 40, 8;
    %cmp/x 8, 40, 8;
    %jmp/1 T_26.229, 4;
    %jmp/1 T_26.206, 4;
    %movi 48, 15, 6;
    %mov 48, 2, 1;
 
    %movi 49, 2, 5;
    %mov 54, 2, 1;
    %mov 54, 2, 1;
    %movi 55, 1, 1;
    %movi 55, 1, 1;
    %cmp/x 8, 48, 8;
    %cmp/x 8, 48, 8;
    %jmp/1 T_26.230, 4;
    %jmp/1 T_26.207, 4;
    %mov 56, 2, 1;
    %movi 56, 6, 6;
    %movi 57, 2, 5;
 
    %mov 62, 2, 1;
    %mov 62, 2, 1;
    %movi 63, 1, 1;
    %movi 63, 1, 1;
    %cmp/x 8, 56, 8;
    %cmp/x 8, 56, 8;
    %jmp/1 T_26.231, 4;
    %jmp/1 T_26.208, 4;
    %movi 64, 6, 6;
    %mov 64, 2, 2;
 
    %movi 66, 2, 4;
    %mov 70, 2, 1;
    %mov 70, 2, 1;
    %movi 71, 1, 1;
    %movi 71, 1, 1;
    %cmp/x 8, 64, 8;
    %cmp/x 8, 64, 8;
    %jmp/1 T_26.232, 4;
    %jmp/1 T_26.209, 4;
    %mov 72, 2, 2;
    %mov 72, 2, 1;
    %movi 74, 2, 4;
    %movi 73, 8, 5;
    %mov 78, 2, 1;
    %mov 78, 2, 1;
    %movi 79, 1, 1;
    %movi 79, 1, 1;
    %cmp/x 8, 72, 8;
    %cmp/x 8, 72, 8;
    %jmp/1 T_26.233, 4;
    %jmp/1 T_26.210, 4;
    %mov 80, 2, 1;
    %movi 80, 18, 6;
    %movi 81, 8, 5;
 
    %mov 86, 2, 1;
    %mov 86, 2, 1;
    %movi 87, 1, 1;
    %movi 87, 1, 1;
    %cmp/x 8, 80, 8;
    %cmp/x 8, 80, 8;
    %jmp/1 T_26.234, 4;
    %jmp/1 T_26.211, 4;
    %movi 88, 18, 6;
    %movi 88, 19, 6;
    %mov 94, 2, 1;
    %mov 94, 2, 1;
    %movi 95, 1, 1;
    %movi 95, 1, 1;
    %cmp/x 8, 88, 8;
    %cmp/x 8, 88, 8;
    %jmp/1 T_26.235, 4;
    %jmp/1 T_26.212, 4;
    %movi 96, 19, 6;
    %movi 96, 0, 1;
 
    %mov 97, 2, 1;
 
    %movi 98, 7, 4;
    %mov 102, 2, 1;
    %mov 102, 2, 1;
    %movi 103, 1, 1;
    %movi 103, 1, 1;
    %cmp/x 8, 96, 8;
    %cmp/x 8, 96, 8;
    %jmp/1 T_26.236, 4;
    %jmp/1 T_26.213, 4;
    %movi 104, 0, 1;
    %mov 104, 2, 1;
    %mov 105, 2, 1;
    %movi 105, 10, 5;
    %movi 106, 7, 4;
 
    %mov 110, 2, 1;
    %mov 110, 2, 1;
    %movi 111, 1, 1;
    %movi 111, 1, 1;
    %cmp/x 8, 104, 8;
    %cmp/x 8, 104, 8;
    %jmp/1 T_26.237, 4;
    %jmp/1 T_26.214, 4;
    %movi 112, 31, 6;
    %movi 112, 22, 6;
    %mov 118, 2, 1;
    %mov 118, 2, 1;
    %movi 119, 1, 1;
    %movi 119, 1, 1;
    %cmp/x 8, 112, 8;
    %cmp/x 8, 112, 8;
    %jmp/1 T_26.238, 4;
    %jmp/1 T_26.215, 4;
    %mov 120, 2, 1;
    %mov 120, 2, 2;
    %movi 121, 10, 5;
    %movi 122, 6, 4;
    %mov 126, 2, 1;
    %mov 126, 2, 1;
    %movi 127, 1, 1;
    %movi 127, 1, 1;
    %cmp/x 8, 120, 8;
    %cmp/x 8, 120, 8;
    %jmp/1 T_26.239, 4;
    %jmp/1 T_26.216, 4;
    %movi 128, 22, 6;
    %mov 128, 2, 1;
 
    %movi 129, 0, 3;
 
    %mov 132, 2, 1;
 
    %movi 133, 1, 1;
    %mov 134, 2, 1;
    %mov 134, 2, 1;
    %movi 135, 1, 1;
    %movi 135, 1, 1;
    %cmp/x 8, 128, 8;
    %cmp/x 8, 128, 8;
    %jmp/1 T_26.240, 4;
    %jmp/1 T_26.217, 4;
    %mov 136, 2, 2;
    %movi 136, 2, 4;
    %movi 138, 6, 4;
    %mov 140, 2, 1;
 
    %movi 141, 1, 1;
    %mov 142, 2, 1;
    %mov 142, 2, 1;
    %movi 143, 1, 1;
    %movi 143, 1, 1;
    %cmp/x 8, 136, 8;
    %cmp/x 8, 136, 8;
    %jmp/1 T_26.241, 4;
    %jmp/1 T_26.218, 4;
    %mov 144, 2, 1;
    %movi 144, 3, 4;
    %movi 145, 0, 3;
 
    %mov 148, 2, 1;
    %mov 148, 2, 1;
    %movi 149, 1, 1;
    %movi 149, 1, 1;
    %mov 150, 2, 1;
    %mov 150, 2, 1;
    %movi 151, 1, 1;
    %movi 151, 1, 1;
    %cmp/x 8, 144, 8;
    %cmp/x 8, 144, 8;
    %jmp/1 T_26.242, 4;
    %jmp/1 T_26.219, 4;
    %movi 152, 2, 4;
    %movi 152, 0, 1;
 
    %mov 153, 2, 1;
 
    %movi 154, 3, 2;
    %mov 156, 2, 1;
    %mov 156, 2, 1;
    %movi 157, 1, 1;
    %movi 157, 1, 1;
    %mov 158, 2, 1;
    %mov 158, 2, 1;
    %movi 159, 1, 1;
    %movi 159, 1, 1;
    %cmp/x 8, 152, 8;
    %cmp/x 8, 152, 8;
    %jmp/1 T_26.243, 4;
    %jmp/1 T_26.220, 4;
    %movi 160, 3, 4;
    %mov 160, 2, 1;
 
    %movi 161, 2, 3;
    %mov 164, 2, 1;
    %mov 164, 2, 1;
    %movi 165, 1, 1;
    %movi 165, 1, 1;
    %mov 166, 2, 1;
    %mov 166, 2, 1;
    %movi 167, 1, 1;
    %movi 167, 1, 1;
    %cmp/x 8, 160, 8;
    %cmp/x 8, 160, 8;
    %jmp/1 T_26.244, 4;
    %jmp/1 T_26.221, 4;
    %movi 168, 0, 1;
    %movi 168, 6, 4;
    %mov 169, 2, 1;
 
    %movi 170, 3, 2;
 
    %mov 172, 2, 1;
    %mov 172, 2, 1;
    %movi 173, 1, 1;
    %movi 173, 1, 1;
    %mov 174, 2, 1;
    %mov 174, 2, 1;
    %movi 175, 1, 1;
    %movi 175, 1, 1;
    %cmp/x 8, 168, 8;
    %cmp/x 8, 168, 8;
    %jmp/1 T_26.245, 4;
    %jmp/1 T_26.222, 4;
    %movi 176, 15, 4;
    %mov 176, 2, 2;
 
    %movi 178, 2, 2;
    %mov 180, 2, 1;
    %mov 180, 2, 1;
    %movi 181, 1, 1;
    %movi 181, 1, 1;
    %mov 182, 2, 1;
    %mov 182, 2, 1;
    %movi 183, 1, 1;
    %movi 183, 1, 1;
    %cmp/x 8, 176, 8;
    %cmp/x 8, 176, 8;
    %jmp/1 T_26.246, 4;
    %jmp/1 T_26.223, 4;
    %mov 184, 2, 1;
    %jmp T_26.224;
    %movi 185, 2, 3;
T_26.203 ;
    %mov 188, 2, 1;
 
    %movi 189, 1, 1;
 
    %mov 190, 2, 1;
 
    %movi 191, 1, 1;
 
    %cmp/x 8, 184, 8;
 
    %jmp/1 T_26.247, 4;
 
    %movi 192, 6, 4;
 
    %mov 196, 2, 1;
 
    %movi 197, 1, 1;
 
    %mov 198, 2, 1;
 
    %movi 199, 1, 1;
 
    %cmp/x 8, 192, 8;
 
    %jmp/1 T_26.248, 4;
 
    %mov 200, 2, 2;
 
    %movi 202, 2, 2;
 
    %mov 204, 2, 1;
 
    %movi 205, 1, 1;
 
    %mov 206, 2, 1;
 
    %movi 207, 1, 1;
 
    %cmp/x 8, 200, 8;
 
    %jmp/1 T_26.249, 4;
 
    %jmp T_26.250;
 
T_26.226 ;
 
    %movi 8, 13, 4;
    %movi 8, 13, 4;
    %set/v v0x13037d0_0, 8, 4;
    %set/v v0xa6a420_0, 8, 4;
    %jmp T_26.250;
    %jmp T_26.224;
T_26.227 ;
T_26.204 ;
    %movi 8, 13, 4;
    %movi 8, 13, 4;
    %set/v v0x13037d0_0, 8, 4;
    %set/v v0xa6a420_0, 8, 4;
    %jmp T_26.250;
    %jmp T_26.224;
T_26.228 ;
T_26.205 ;
    %movi 8, 7, 4;
 
    %set/v v0x13037d0_0, 8, 4;
 
    %jmp T_26.250;
 
T_26.229 ;
 
    %movi 8, 7, 4;
    %movi 8, 7, 4;
    %set/v v0x13037d0_0, 8, 4;
    %set/v v0xa6a420_0, 8, 4;
    %jmp T_26.250;
    %jmp T_26.224;
T_26.230 ;
T_26.206 ;
    %movi 8, 7, 4;
    %movi 8, 7, 4;
    %set/v v0x13037d0_0, 8, 4;
    %set/v v0xa6a420_0, 8, 4;
    %jmp T_26.250;
    %jmp T_26.224;
T_26.231 ;
T_26.207 ;
    %movi 8, 13, 4;
    %movi 8, 13, 4;
    %set/v v0x13037d0_0, 8, 4;
    %set/v v0xa6a420_0, 8, 4;
    %jmp T_26.250;
    %jmp T_26.224;
T_26.232 ;
T_26.208 ;
    %movi 8, 13, 4;
    %movi 8, 13, 4;
    %set/v v0x13037d0_0, 8, 4;
    %set/v v0xa6a420_0, 8, 4;
    %jmp T_26.250;
    %jmp T_26.224;
T_26.233 ;
T_26.209 ;
    %movi 8, 13, 4;
    %movi 8, 13, 4;
    %set/v v0x13037d0_0, 8, 4;
    %set/v v0xa6a420_0, 8, 4;
    %jmp T_26.250;
    %jmp T_26.224;
T_26.234 ;
T_26.210 ;
    %movi 8, 12, 4;
    %movi 8, 12, 4;
    %set/v v0x13037d0_0, 8, 4;
    %set/v v0xa6a420_0, 8, 4;
    %jmp T_26.250;
    %jmp T_26.224;
T_26.235 ;
T_26.211 ;
    %movi 8, 12, 4;
    %movi 8, 12, 4;
    %set/v v0x13037d0_0, 8, 4;
    %set/v v0xa6a420_0, 8, 4;
    %jmp T_26.250;
    %jmp T_26.224;
T_26.236 ;
T_26.212 ;
    %movi 8, 6, 4;
 
    %set/v v0x13037d0_0, 8, 4;
 
    %jmp T_26.250;
 
T_26.237 ;
 
    %movi 8, 6, 4;
    %movi 8, 6, 4;
    %set/v v0x13037d0_0, 8, 4;
    %set/v v0xa6a420_0, 8, 4;
    %jmp T_26.250;
    %jmp T_26.224;
T_26.238 ;
T_26.213 ;
    %movi 8, 6, 4;
    %movi 8, 6, 4;
    %set/v v0x13037d0_0, 8, 4;
    %set/v v0xa6a420_0, 8, 4;
    %jmp T_26.250;
    %jmp T_26.224;
T_26.239 ;
T_26.214 ;
    %movi 8, 12, 4;
    %movi 8, 12, 4;
    %set/v v0x13037d0_0, 8, 4;
    %set/v v0xa6a420_0, 8, 4;
    %jmp T_26.250;
    %jmp T_26.224;
T_26.240 ;
T_26.215 ;
    %movi 8, 12, 4;
    %movi 8, 12, 4;
    %set/v v0x13037d0_0, 8, 4;
    %set/v v0xa6a420_0, 8, 4;
    %jmp T_26.250;
    %jmp T_26.224;
T_26.241 ;
T_26.216 ;
    %movi 8, 12, 4;
    %movi 8, 12, 4;
    %set/v v0x13037d0_0, 8, 4;
    %set/v v0xa6a420_0, 8, 4;
    %jmp T_26.250;
    %jmp T_26.224;
T_26.242 ;
T_26.217 ;
    %movi 8, 12, 4;
    %movi 8, 12, 4;
    %set/v v0x13037d0_0, 8, 4;
    %set/v v0xa6a420_0, 8, 4;
    %jmp T_26.250;
    %jmp T_26.224;
T_26.243 ;
T_26.218 ;
    %movi 8, 12, 4;
    %movi 8, 12, 4;
    %set/v v0x13037d0_0, 8, 4;
    %set/v v0xa6a420_0, 8, 4;
    %jmp T_26.250;
    %jmp T_26.224;
T_26.244 ;
T_26.219 ;
    %movi 8, 6, 4;
 
    %set/v v0x13037d0_0, 8, 4;
 
    %jmp T_26.250;
 
T_26.245 ;
 
    %movi 8, 6, 4;
    %movi 8, 6, 4;
    %set/v v0x13037d0_0, 8, 4;
    %set/v v0xa6a420_0, 8, 4;
    %jmp T_26.250;
    %jmp T_26.224;
T_26.246 ;
T_26.220 ;
    %movi 8, 6, 4;
    %movi 8, 6, 4;
    %set/v v0x13037d0_0, 8, 4;
    %set/v v0xa6a420_0, 8, 4;
    %jmp T_26.250;
    %jmp T_26.224;
T_26.247 ;
T_26.221 ;
    %movi 8, 12, 4;
    %movi 8, 12, 4;
    %set/v v0x13037d0_0, 8, 4;
    %set/v v0xa6a420_0, 8, 4;
    %jmp T_26.250;
    %jmp T_26.224;
T_26.248 ;
T_26.222 ;
    %movi 8, 12, 4;
    %movi 8, 12, 4;
    %set/v v0x13037d0_0, 8, 4;
    %set/v v0xa6a420_0, 8, 4;
    %jmp T_26.250;
    %jmp T_26.224;
T_26.249 ;
T_26.223 ;
    %movi 8, 12, 4;
    %movi 8, 12, 4;
    %set/v v0x13037d0_0, 8, 4;
    %set/v v0xa6a420_0, 8, 4;
    %jmp T_26.250;
    %jmp T_26.224;
T_26.250 ;
T_26.224 ;
    %jmp T_26;
    %jmp T_26;
    .thread T_26, $push;
    .thread T_26, $push;
    .scope S_0x1302670;
    .scope S_0xa692c0;
T_27 ;
T_27 ;
    %wait E_0x12f57d0;
    %wait E_0xa5c1a0;
    %load/v 8, v0x13037d0_0, 4;
    %load/v 8, v0xa6a420_0, 4;
    %ix/load 0, 4, 0;
    %ix/load 0, 4, 0;
    %assign/v0 v0x13038b0_0, 0, 8;
    %assign/v0 v0xa6a500_0, 0, 8;
    %load/v 8, v0x1303610_0, 4;
    %load/v 8, v0xa6a260_0, 4;
    %ix/load 0, 4, 0;
    %ix/load 0, 4, 0;
    %assign/v0 v0x13036f0_0, 0, 8;
    %assign/v0 v0xa6a340_0, 0, 8;
    %load/v 8, v0x1303170_0, 4;
    %load/v 8, v0xa69dc0_0, 4;
    %ix/load 0, 4, 0;
    %ix/load 0, 4, 0;
    %assign/v0 v0x13032e0_0, 0, 8;
    %assign/v0 v0xa69f30_0, 0, 8;
    %jmp T_27;
    %jmp T_27;
    .thread T_27;
    .thread T_27;
    .scope S_0x1301ad0;
    .scope S_0xa68720;
T_28 ;
T_28 ;
    %wait E_0x1301d40;
    %wait E_0xa68990;
    %set/v v0x1302060_0, 0, 3;
    %set/v v0xa68cb0_0, 0, 3;
    %set/v v0x1302420_0, 1, 1;
    %set/v v0xa69070_0, 1, 1;
    %set/v v0x1301da0_0, 0, 3;
    %set/v v0xa689f0_0, 0, 3;
    %set/v v0x1302380_0, 0, 1;
    %set/v v0xa68fd0_0, 0, 1;
    %load/v 8, v0x1301ea0_0, 8;
    %load/v 8, v0xa68af0_0, 8;
    %mov 16, 2, 4;
    %mov 16, 2, 4;
    %movi 20, 0, 4;
    %movi 20, 0, 4;
    %cmp/x 8, 16, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_28.0, 4;
    %jmp/1 T_28.0, 4;
    %movi 24, 18, 8;
    %movi 24, 18, 8;
Line 5261... Line 4876...
    %cmp/x 8, 120, 8;
    %cmp/x 8, 120, 8;
    %jmp/1 T_28.43, 4;
    %jmp/1 T_28.43, 4;
    %jmp T_28.44;
    %jmp T_28.44;
T_28.0 ;
T_28.0 ;
    %movi 8, 3, 3;
    %movi 8, 3, 3;
    %set/v v0x1301da0_0, 8, 3;
    %set/v v0xa689f0_0, 8, 3;
    %jmp T_28.44;
    %jmp T_28.44;
T_28.1 ;
T_28.1 ;
    %movi 8, 2, 3;
    %movi 8, 2, 3;
    %set/v v0x1301da0_0, 8, 3;
    %set/v v0xa689f0_0, 8, 3;
    %jmp T_28.44;
    %jmp T_28.44;
T_28.2 ;
T_28.2 ;
    %movi 8, 2, 3;
    %movi 8, 2, 3;
    %set/v v0x1301da0_0, 8, 3;
    %set/v v0xa689f0_0, 8, 3;
    %jmp T_28.44;
    %jmp T_28.44;
T_28.3 ;
T_28.3 ;
    %movi 8, 2, 3;
    %movi 8, 2, 3;
    %set/v v0x1301da0_0, 8, 3;
    %set/v v0xa689f0_0, 8, 3;
    %jmp T_28.44;
    %jmp T_28.44;
T_28.4 ;
T_28.4 ;
    %set/v v0x1301da0_0, 0, 3;
    %set/v v0xa689f0_0, 0, 3;
    %jmp T_28.44;
    %jmp T_28.44;
T_28.5 ;
T_28.5 ;
    %set/v v0x1301da0_0, 0, 3;
    %set/v v0xa689f0_0, 0, 3;
    %jmp T_28.44;
    %jmp T_28.44;
T_28.6 ;
T_28.6 ;
    %set/v v0x1301da0_0, 0, 3;
    %set/v v0xa689f0_0, 0, 3;
    %jmp T_28.44;
    %jmp T_28.44;
T_28.7 ;
T_28.7 ;
    %set/v v0x1301da0_0, 0, 3;
    %set/v v0xa689f0_0, 0, 3;
    %jmp T_28.44;
    %jmp T_28.44;
T_28.8 ;
T_28.8 ;
    %set/v v0x1301da0_0, 1, 3;
    %set/v v0xa689f0_0, 1, 3;
    %jmp T_28.44;
    %jmp T_28.44;
T_28.9 ;
T_28.9 ;
    %set/v v0x1301da0_0, 1, 3;
    %set/v v0xa689f0_0, 1, 3;
    %movi 8, 4, 3;
    %movi 8, 4, 3;
    %set/v v0x1302060_0, 8, 3;
    %set/v v0xa68cb0_0, 8, 3;
    %jmp T_28.44;
    %jmp T_28.44;
T_28.10 ;
T_28.10 ;
    %movi 8, 1, 3;
    %movi 8, 1, 3;
    %set/v v0x1301da0_0, 8, 3;
    %set/v v0xa689f0_0, 8, 3;
    %jmp T_28.44;
    %jmp T_28.44;
T_28.11 ;
T_28.11 ;
    %movi 8, 1, 3;
    %movi 8, 1, 3;
    %set/v v0x1301da0_0, 8, 3;
    %set/v v0xa689f0_0, 8, 3;
    %jmp T_28.44;
    %jmp T_28.44;
T_28.12 ;
T_28.12 ;
    %movi 8, 1, 3;
    %movi 8, 1, 3;
    %set/v v0x1301da0_0, 8, 3;
    %set/v v0xa689f0_0, 8, 3;
    %jmp T_28.44;
    %jmp T_28.44;
T_28.13 ;
T_28.13 ;
    %movi 8, 1, 3;
    %movi 8, 1, 3;
    %set/v v0x1301da0_0, 8, 3;
    %set/v v0xa689f0_0, 8, 3;
    %jmp T_28.44;
    %jmp T_28.44;
T_28.14 ;
T_28.14 ;
    %movi 8, 1, 3;
    %movi 8, 1, 3;
    %set/v v0x1301da0_0, 8, 3;
    %set/v v0xa689f0_0, 8, 3;
    %jmp T_28.44;
    %jmp T_28.44;
T_28.15 ;
T_28.15 ;
    %movi 8, 6, 3;
    %movi 8, 6, 3;
    %set/v v0x1301da0_0, 8, 3;
    %set/v v0xa689f0_0, 8, 3;
    %jmp T_28.44;
    %jmp T_28.44;
T_28.16 ;
T_28.16 ;
    %movi 8, 4, 3;
    %movi 8, 4, 3;
    %set/v v0x1301da0_0, 8, 3;
    %set/v v0xa689f0_0, 8, 3;
    %set/v v0x1302060_0, 1, 3;
    %set/v v0xa68cb0_0, 1, 3;
    %jmp T_28.44;
    %jmp T_28.44;
T_28.17 ;
T_28.17 ;
    %movi 8, 4, 3;
    %movi 8, 4, 3;
    %set/v v0x1301da0_0, 8, 3;
    %set/v v0xa689f0_0, 8, 3;
    %set/v v0x1302060_0, 1, 3;
    %set/v v0xa68cb0_0, 1, 3;
    %jmp T_28.44;
    %jmp T_28.44;
T_28.18 ;
T_28.18 ;
    %movi 8, 4, 3;
    %movi 8, 4, 3;
    %set/v v0x1301da0_0, 8, 3;
    %set/v v0xa689f0_0, 8, 3;
    %set/v v0x1302060_0, 1, 3;
    %set/v v0xa68cb0_0, 1, 3;
    %jmp T_28.44;
    %jmp T_28.44;
T_28.19 ;
T_28.19 ;
    %movi 8, 4, 3;
    %movi 8, 4, 3;
    %set/v v0x1301da0_0, 8, 3;
    %set/v v0xa689f0_0, 8, 3;
    %set/v v0x1302060_0, 1, 3;
    %set/v v0xa68cb0_0, 1, 3;
    %jmp T_28.44;
    %jmp T_28.44;
T_28.20 ;
T_28.20 ;
    %movi 8, 1, 3;
    %movi 8, 1, 3;
    %set/v v0x1302060_0, 8, 3;
    %set/v v0xa68cb0_0, 8, 3;
    %set/v v0x1301da0_0, 0, 3;
    %set/v v0xa689f0_0, 0, 3;
    %jmp T_28.44;
    %jmp T_28.44;
T_28.21 ;
T_28.21 ;
    %movi 8, 2, 3;
    %movi 8, 2, 3;
    %set/v v0x1302060_0, 8, 3;
    %set/v v0xa68cb0_0, 8, 3;
    %set/v v0x1301da0_0, 0, 3;
    %set/v v0xa689f0_0, 0, 3;
    %jmp T_28.44;
    %jmp T_28.44;
T_28.22 ;
T_28.22 ;
    %movi 8, 1, 3;
    %movi 8, 1, 3;
    %set/v v0x1302060_0, 8, 3;
    %set/v v0xa68cb0_0, 8, 3;
    %set/v v0x1301da0_0, 0, 3;
    %set/v v0xa689f0_0, 0, 3;
    %set/v v0x1302420_0, 0, 1;
    %set/v v0xa69070_0, 0, 1;
    %jmp T_28.44;
    %jmp T_28.44;
T_28.23 ;
T_28.23 ;
    %movi 8, 2, 3;
    %movi 8, 2, 3;
    %set/v v0x1302060_0, 8, 3;
    %set/v v0xa68cb0_0, 8, 3;
    %set/v v0x1301da0_0, 0, 3;
    %set/v v0xa689f0_0, 0, 3;
    %set/v v0x1302420_0, 0, 1;
    %set/v v0xa69070_0, 0, 1;
    %jmp T_28.44;
    %jmp T_28.44;
T_28.24 ;
T_28.24 ;
    %set/v v0x1301da0_0, 0, 3;
    %set/v v0xa689f0_0, 0, 3;
    %jmp T_28.44;
    %jmp T_28.44;
T_28.25 ;
T_28.25 ;
    %set/v v0x1301da0_0, 0, 3;
    %set/v v0xa689f0_0, 0, 3;
    %jmp T_28.44;
    %jmp T_28.44;
T_28.26 ;
T_28.26 ;
    %set/v v0x1301da0_0, 0, 3;
    %set/v v0xa689f0_0, 0, 3;
    %movi 8, 3, 3;
    %movi 8, 3, 3;
    %set/v v0x1302060_0, 8, 3;
    %set/v v0xa68cb0_0, 8, 3;
    %jmp T_28.44;
    %jmp T_28.44;
T_28.27 ;
T_28.27 ;
    %set/v v0x1301da0_0, 0, 3;
    %set/v v0xa689f0_0, 0, 3;
    %movi 8, 3, 3;
    %movi 8, 3, 3;
    %set/v v0x1302060_0, 8, 3;
    %set/v v0xa68cb0_0, 8, 3;
    %jmp T_28.44;
    %jmp T_28.44;
T_28.28 ;
T_28.28 ;
    %movi 8, 2, 3;
    %movi 8, 2, 3;
    %set/v v0x1301da0_0, 8, 3;
    %set/v v0xa689f0_0, 8, 3;
    %jmp T_28.44;
    %jmp T_28.44;
T_28.29 ;
T_28.29 ;
    %movi 8, 2, 3;
    %movi 8, 2, 3;
    %set/v v0x1301da0_0, 8, 3;
    %set/v v0xa689f0_0, 8, 3;
    %jmp T_28.44;
    %jmp T_28.44;
T_28.30 ;
T_28.30 ;
    %movi 8, 2, 3;
    %movi 8, 2, 3;
    %set/v v0x1301da0_0, 8, 3;
    %set/v v0xa689f0_0, 8, 3;
    %jmp T_28.44;
    %jmp T_28.44;
T_28.31 ;
T_28.31 ;
    %movi 8, 2, 3;
    %movi 8, 2, 3;
    %set/v v0x1301da0_0, 8, 3;
    %set/v v0xa689f0_0, 8, 3;
    %jmp T_28.44;
    %jmp T_28.44;
T_28.32 ;
T_28.32 ;
    %movi 8, 2, 3;
    %movi 8, 2, 3;
    %set/v v0x1301da0_0, 8, 3;
    %set/v v0xa689f0_0, 8, 3;
    %jmp T_28.44;
    %jmp T_28.44;
T_28.33 ;
T_28.33 ;
    %movi 8, 2, 3;
    %movi 8, 2, 3;
    %set/v v0x1301da0_0, 8, 3;
    %set/v v0xa689f0_0, 8, 3;
    %jmp T_28.44;
    %jmp T_28.44;
T_28.34 ;
T_28.34 ;
    %movi 8, 4, 3;
    %movi 8, 4, 3;
    %set/v v0x1301da0_0, 8, 3;
    %set/v v0xa689f0_0, 8, 3;
    %jmp T_28.44;
    %jmp T_28.44;
T_28.35 ;
T_28.35 ;
    %movi 8, 5, 3;
    %movi 8, 5, 3;
    %set/v v0x1301da0_0, 8, 3;
    %set/v v0xa689f0_0, 8, 3;
    %jmp T_28.44;
    %jmp T_28.44;
T_28.36 ;
T_28.36 ;
    %load/v 8, v0x1301f90_0, 4;
    %load/v 8, v0xa68be0_0, 4;
    %cmpi/u 8, 3, 4;
    %cmpi/u 8, 3, 4;
    %jmp/1 T_28.45, 6;
    %jmp/1 T_28.45, 6;
    %cmpi/u 8, 12, 4;
    %cmpi/u 8, 12, 4;
    %jmp/1 T_28.46, 6;
    %jmp/1 T_28.46, 6;
    %cmpi/u 8, 14, 4;
    %cmpi/u 8, 14, 4;
    %jmp/1 T_28.47, 6;
    %jmp/1 T_28.47, 6;
    %cmpi/u 8, 13, 4;
    %cmpi/u 8, 13, 4;
    %jmp/1 T_28.48, 6;
    %jmp/1 T_28.48, 6;
    %movi 8, 1, 3;
    %movi 8, 1, 3;
    %set/v v0x1301da0_0, 8, 3;
    %set/v v0xa689f0_0, 8, 3;
    %jmp T_28.50;
    %jmp T_28.50;
T_28.45 ;
T_28.45 ;
    %movi 8, 1, 3;
    %movi 8, 1, 3;
    %set/v v0x1301da0_0, 8, 3;
    %set/v v0xa689f0_0, 8, 3;
    %set/v v0x1302380_0, 1, 1;
    %set/v v0xa68fd0_0, 1, 1;
    %jmp T_28.50;
    %jmp T_28.50;
T_28.46 ;
T_28.46 ;
    %movi 8, 1, 3;
    %movi 8, 1, 3;
    %set/v v0x1301da0_0, 8, 3;
    %set/v v0xa689f0_0, 8, 3;
    %set/v v0x1302380_0, 1, 1;
    %set/v v0xa68fd0_0, 1, 1;
    %jmp T_28.50;
    %jmp T_28.50;
T_28.47 ;
T_28.47 ;
    %movi 8, 1, 3;
    %movi 8, 1, 3;
    %set/v v0x1301da0_0, 8, 3;
    %set/v v0xa689f0_0, 8, 3;
    %set/v v0x1302380_0, 1, 1;
    %set/v v0xa68fd0_0, 1, 1;
    %jmp T_28.50;
    %jmp T_28.50;
T_28.48 ;
T_28.48 ;
    %movi 8, 6, 3;
    %movi 8, 6, 3;
    %set/v v0x1301da0_0, 8, 3;
    %set/v v0xa689f0_0, 8, 3;
    %jmp T_28.50;
    %jmp T_28.50;
T_28.50 ;
T_28.50 ;
    %jmp T_28.44;
    %jmp T_28.44;
T_28.37 ;
T_28.37 ;
    %load/v 8, v0x1301f90_0, 4;
    %load/v 8, v0xa68be0_0, 4;
    %cmpi/u 8, 3, 4;
    %cmpi/u 8, 3, 4;
    %jmp/1 T_28.51, 6;
    %jmp/1 T_28.51, 6;
    %cmpi/u 8, 12, 4;
    %cmpi/u 8, 12, 4;
    %jmp/1 T_28.52, 6;
    %jmp/1 T_28.52, 6;
    %cmpi/u 8, 14, 4;
    %cmpi/u 8, 14, 4;
    %jmp/1 T_28.53, 6;
    %jmp/1 T_28.53, 6;
    %movi 8, 1, 3;
    %movi 8, 1, 3;
    %set/v v0x1301da0_0, 8, 3;
    %set/v v0xa689f0_0, 8, 3;
    %jmp T_28.55;
    %jmp T_28.55;
T_28.51 ;
T_28.51 ;
    %movi 8, 1, 3;
    %movi 8, 1, 3;
    %set/v v0x1301da0_0, 8, 3;
    %set/v v0xa689f0_0, 8, 3;
    %set/v v0x1302380_0, 1, 1;
    %set/v v0xa68fd0_0, 1, 1;
    %jmp T_28.55;
    %jmp T_28.55;
T_28.52 ;
T_28.52 ;
    %movi 8, 1, 3;
    %movi 8, 1, 3;
    %set/v v0x1301da0_0, 8, 3;
    %set/v v0xa689f0_0, 8, 3;
    %set/v v0x1302380_0, 1, 1;
    %set/v v0xa68fd0_0, 1, 1;
    %jmp T_28.55;
    %jmp T_28.55;
T_28.53 ;
T_28.53 ;
    %movi 8, 1, 3;
    %movi 8, 1, 3;
    %set/v v0x1301da0_0, 8, 3;
    %set/v v0xa689f0_0, 8, 3;
    %set/v v0x1302380_0, 1, 1;
    %set/v v0xa68fd0_0, 1, 1;
    %jmp T_28.55;
    %jmp T_28.55;
T_28.55 ;
T_28.55 ;
    %jmp T_28.44;
    %jmp T_28.44;
T_28.38 ;
T_28.38 ;
    %movi 8, 3, 3;
    %movi 8, 3, 3;
    %set/v v0x1301da0_0, 8, 3;
    %set/v v0xa689f0_0, 8, 3;
    %jmp T_28.44;
    %jmp T_28.44;
T_28.39 ;
T_28.39 ;
    %movi 8, 3, 3;
    %movi 8, 3, 3;
    %set/v v0x1301da0_0, 8, 3;
    %set/v v0xa689f0_0, 8, 3;
    %jmp T_28.44;
    %jmp T_28.44;
T_28.40 ;
T_28.40 ;
    %movi 8, 4, 3;
    %movi 8, 4, 3;
    %set/v v0x1301da0_0, 8, 3;
    %set/v v0xa689f0_0, 8, 3;
    %jmp T_28.44;
    %jmp T_28.44;
T_28.41 ;
T_28.41 ;
    %movi 8, 4, 3;
    %movi 8, 4, 3;
    %set/v v0x1301da0_0, 8, 3;
    %set/v v0xa689f0_0, 8, 3;
    %jmp T_28.44;
    %jmp T_28.44;
T_28.42 ;
T_28.42 ;
    %movi 8, 5, 3;
    %movi 8, 5, 3;
    %set/v v0x1301da0_0, 8, 3;
    %set/v v0xa689f0_0, 8, 3;
    %jmp T_28.44;
    %jmp T_28.44;
T_28.43 ;
T_28.43 ;
    %movi 8, 5, 3;
    %movi 8, 5, 3;
    %set/v v0x1301da0_0, 8, 3;
    %set/v v0xa689f0_0, 8, 3;
    %jmp T_28.44;
    %jmp T_28.44;
T_28.44 ;
T_28.44 ;
    %load/v 8, v0x1301ea0_0, 8;
    %load/v 8, v0xa68af0_0, 8;
    %movi 16, 6, 4;
    %movi 16, 6, 4;
    %mov 20, 2, 3;
    %mov 20, 2, 3;
    %movi 23, 1, 1;
    %movi 23, 1, 1;
    %cmp/x 8, 16, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_28.56, 4;
    %jmp/1 T_28.56, 4;
Line 5528... Line 5143...
    %mov 60, 2, 3;
    %mov 60, 2, 3;
    %movi 63, 1, 1;
    %movi 63, 1, 1;
    %cmp/x 8, 56, 8;
    %cmp/x 8, 56, 8;
    %jmp/1 T_28.61, 4;
    %jmp/1 T_28.61, 4;
    %movi 64, 13, 4;
    %movi 64, 13, 4;
    %mov 68, 2, 3;
    %mov 68, 2, 2;
    %movi 71, 1, 1;
    %movi 70, 3, 2;
    %cmp/x 8, 64, 8;
    %cmp/x 8, 64, 8;
    %jmp/1 T_28.62, 4;
    %jmp/1 T_28.62, 4;
    %jmp T_28.63;
    %jmp T_28.63;
T_28.56 ;
T_28.56 ;
    %movi 8, 6, 3;
    %movi 8, 6, 3;
    %set/v v0x1302060_0, 8, 3;
    %set/v v0xa68cb0_0, 8, 3;
    %jmp T_28.63;
    %jmp T_28.63;
T_28.57 ;
T_28.57 ;
    %movi 8, 5, 3;
    %movi 8, 5, 3;
    %set/v v0x1302060_0, 8, 3;
    %set/v v0xa68cb0_0, 8, 3;
    %jmp T_28.63;
    %jmp T_28.63;
T_28.58 ;
T_28.58 ;
    %movi 8, 6, 3;
    %movi 8, 6, 3;
    %set/v v0x1302060_0, 8, 3;
    %set/v v0xa68cb0_0, 8, 3;
    %jmp T_28.63;
    %jmp T_28.63;
T_28.59 ;
T_28.59 ;
    %movi 8, 4, 3;
    %movi 8, 4, 3;
    %set/v v0x1302060_0, 8, 3;
    %set/v v0xa68cb0_0, 8, 3;
    %jmp T_28.63;
    %jmp T_28.63;
T_28.60 ;
T_28.60 ;
    %movi 8, 6, 3;
    %movi 8, 6, 3;
    %set/v v0x1302060_0, 8, 3;
    %set/v v0xa68cb0_0, 8, 3;
    %jmp T_28.63;
    %jmp T_28.63;
T_28.61 ;
T_28.61 ;
    %movi 8, 5, 3;
    %movi 8, 5, 3;
    %set/v v0x1302060_0, 8, 3;
    %set/v v0xa68cb0_0, 8, 3;
    %jmp T_28.63;
    %jmp T_28.63;
T_28.62 ;
T_28.62 ;
    %movi 8, 5, 3;
    %movi 8, 5, 3;
    %set/v v0x1302060_0, 8, 3;
    %set/v v0xa68cb0_0, 8, 3;
    %jmp T_28.63;
    %jmp T_28.63;
T_28.63 ;
T_28.63 ;
    %load/v 8, v0x1302140_0, 1;
    %load/v 8, v0xa68d90_0, 1;
    %jmp/0xz  T_28.64, 8;
    %jmp/0xz  T_28.64, 8;
    %load/v 8, v0x13022b0_0, 8;
    %load/v 8, v0xa68f00_0, 8;
    %mov 16, 2, 4;
    %mov 16, 2, 4;
    %movi 20, 1, 4;
    %movi 20, 1, 4;
    %cmp/x 8, 16, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_28.66, 4;
    %jmp/1 T_28.66, 4;
    %movi 24, 47, 8;
    %movi 24, 47, 8;
Line 5586... Line 5201...
    %movi 24, 206, 8;
    %movi 24, 206, 8;
    %cmp/x 8, 24, 8;
    %cmp/x 8, 24, 8;
    %jmp/1 T_28.71, 4;
    %jmp/1 T_28.71, 4;
    %jmp T_28.72;
    %jmp T_28.72;
T_28.66 ;
T_28.66 ;
    %set/v v0x1301da0_0, 1, 3;
    %set/v v0xa689f0_0, 1, 3;
    %jmp T_28.72;
    %jmp T_28.72;
T_28.67 ;
T_28.67 ;
    %movi 8, 2, 3;
    %movi 8, 2, 3;
    %set/v v0x1301da0_0, 8, 3;
    %set/v v0xa689f0_0, 8, 3;
    %jmp T_28.72;
    %jmp T_28.72;
T_28.68 ;
T_28.68 ;
    %movi 8, 1, 3;
    %movi 8, 1, 3;
    %set/v v0x1301da0_0, 8, 3;
    %set/v v0xa689f0_0, 8, 3;
    %set/v v0x1302380_0, 1, 1;
    %set/v v0xa68fd0_0, 1, 1;
    %jmp T_28.72;
    %jmp T_28.72;
T_28.69 ;
T_28.69 ;
    %movi 8, 1, 3;
    %movi 8, 1, 3;
    %set/v v0x1301da0_0, 8, 3;
    %set/v v0xa689f0_0, 8, 3;
    %set/v v0x1302380_0, 1, 1;
    %set/v v0xa68fd0_0, 1, 1;
    %jmp T_28.72;
    %jmp T_28.72;
T_28.70 ;
T_28.70 ;
    %movi 8, 1, 3;
    %movi 8, 1, 3;
    %set/v v0x1301da0_0, 8, 3;
    %set/v v0xa689f0_0, 8, 3;
    %set/v v0x1302380_0, 1, 1;
    %set/v v0xa68fd0_0, 1, 1;
    %jmp T_28.72;
    %jmp T_28.72;
T_28.71 ;
T_28.71 ;
    %movi 8, 1, 3;
    %movi 8, 1, 3;
    %set/v v0x1301da0_0, 8, 3;
    %set/v v0xa689f0_0, 8, 3;
    %set/v v0x1302380_0, 1, 1;
    %set/v v0xa68fd0_0, 1, 1;
    %jmp T_28.72;
    %jmp T_28.72;
T_28.72 ;
T_28.72 ;
    %load/v 8, v0x13022b0_0, 8;
    %load/v 8, v0xa68f00_0, 8;
    %mov 16, 2, 4;
    %mov 16, 2, 4;
    %movi 20, 9, 4;
    %movi 20, 9, 4;
    %cmp/x 8, 16, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_28.73, 4;
    %jmp/1 T_28.73, 4;
    %mov 24, 2, 4;
    %mov 24, 2, 4;
Line 5641... Line 5256...
    %cmp/x 8, 56, 8;
    %cmp/x 8, 56, 8;
    %jmp/1 T_28.78, 4;
    %jmp/1 T_28.78, 4;
    %jmp T_28.79;
    %jmp T_28.79;
T_28.73 ;
T_28.73 ;
    %movi 8, 3, 3;
    %movi 8, 3, 3;
    %set/v v0x1301da0_0, 8, 3;
    %set/v v0xa689f0_0, 8, 3;
    %jmp T_28.79;
    %jmp T_28.79;
T_28.74 ;
T_28.74 ;
    %movi 8, 3, 3;
    %movi 8, 3, 3;
    %set/v v0x1301da0_0, 8, 3;
    %set/v v0xa689f0_0, 8, 3;
    %jmp T_28.79;
    %jmp T_28.79;
T_28.75 ;
T_28.75 ;
    %movi 8, 4, 3;
    %movi 8, 4, 3;
    %set/v v0x1301da0_0, 8, 3;
    %set/v v0xa689f0_0, 8, 3;
    %jmp T_28.79;
    %jmp T_28.79;
T_28.76 ;
T_28.76 ;
    %movi 8, 4, 3;
    %movi 8, 4, 3;
    %set/v v0x1301da0_0, 8, 3;
    %set/v v0xa689f0_0, 8, 3;
    %jmp T_28.79;
    %jmp T_28.79;
T_28.77 ;
T_28.77 ;
    %movi 8, 5, 3;
    %movi 8, 5, 3;
    %set/v v0x1301da0_0, 8, 3;
    %set/v v0xa689f0_0, 8, 3;
    %jmp T_28.79;
    %jmp T_28.79;
T_28.78 ;
T_28.78 ;
    %movi 8, 5, 3;
    %movi 8, 5, 3;
    %set/v v0x1301da0_0, 8, 3;
    %set/v v0xa689f0_0, 8, 3;
    %jmp T_28.79;
    %jmp T_28.79;
T_28.79 ;
T_28.79 ;
    %load/v 8, v0x13022b0_0, 8;
    %load/v 8, v0xa68f00_0, 8;
    %movi 16, 14, 4;
    %movi 16, 14, 4;
    %mov 20, 2, 3;
    %mov 20, 2, 3;
    %movi 23, 1, 1;
    %movi 23, 1, 1;
    %cmp/x 8, 16, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_28.80, 4;
    %jmp/1 T_28.80, 4;
Line 5683... Line 5298...
    %cmp/x 8, 32, 8;
    %cmp/x 8, 32, 8;
    %jmp/1 T_28.82, 4;
    %jmp/1 T_28.82, 4;
    %jmp T_28.83;
    %jmp T_28.83;
T_28.80 ;
T_28.80 ;
    %movi 8, 6, 3;
    %movi 8, 6, 3;
    %set/v v0x1302060_0, 8, 3;
    %set/v v0xa68cb0_0, 8, 3;
    %jmp T_28.83;
    %jmp T_28.83;
T_28.81 ;
T_28.81 ;
    %movi 8, 5, 3;
    %movi 8, 5, 3;
    %set/v v0x1302060_0, 8, 3;
    %set/v v0xa68cb0_0, 8, 3;
    %jmp T_28.83;
    %jmp T_28.83;
T_28.82 ;
T_28.82 ;
    %movi 8, 5, 3;
    %movi 8, 5, 3;
    %set/v v0x1302060_0, 8, 3;
    %set/v v0xa68cb0_0, 8, 3;
    %jmp T_28.83;
    %jmp T_28.83;
T_28.83 ;
T_28.83 ;
T_28.64 ;
T_28.64 ;
    %load/v 8, v0x13021e0_0, 1;
    %load/v 8, v0xa68e30_0, 1;
    %jmp/0xz  T_28.84, 8;
    %jmp/0xz  T_28.84, 8;
    %load/v 8, v0x13022b0_0, 8;
    %load/v 8, v0xa68f00_0, 8;
    %movi 16, 47, 8;
    %movi 16, 47, 8;
    %cmp/x 8, 16, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_28.86, 4;
    %jmp/1 T_28.86, 4;
    %movi 16, 131, 8;
    %movi 16, 131, 8;
    %cmp/x 8, 16, 8;
    %cmp/x 8, 16, 8;
Line 5716... Line 5331...
    %cmp/x 8, 16, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_28.90, 4;
    %jmp/1 T_28.90, 4;
    %jmp T_28.91;
    %jmp T_28.91;
T_28.86 ;
T_28.86 ;
    %movi 8, 2, 3;
    %movi 8, 2, 3;
    %set/v v0x1301da0_0, 8, 3;
    %set/v v0xa689f0_0, 8, 3;
    %jmp T_28.91;
    %jmp T_28.91;
T_28.87 ;
T_28.87 ;
    %movi 8, 1, 3;
    %movi 8, 1, 3;
    %set/v v0x1301da0_0, 8, 3;
    %set/v v0xa689f0_0, 8, 3;
    %set/v v0x1302380_0, 1, 1;
    %set/v v0xa68fd0_0, 1, 1;
    %jmp T_28.91;
    %jmp T_28.91;
T_28.88 ;
T_28.88 ;
    %movi 8, 1, 3;
    %movi 8, 1, 3;
    %set/v v0x1301da0_0, 8, 3;
    %set/v v0xa689f0_0, 8, 3;
    %set/v v0x1302380_0, 1, 1;
    %set/v v0xa68fd0_0, 1, 1;
    %jmp T_28.91;
    %jmp T_28.91;
T_28.89 ;
T_28.89 ;
    %movi 8, 1, 3;
    %movi 8, 1, 3;
    %set/v v0x1301da0_0, 8, 3;
    %set/v v0xa689f0_0, 8, 3;
    %set/v v0x1302380_0, 1, 1;
    %set/v v0xa68fd0_0, 1, 1;
    %jmp T_28.91;
    %jmp T_28.91;
T_28.90 ;
T_28.90 ;
    %movi 8, 1, 3;
    %movi 8, 1, 3;
    %set/v v0x1301da0_0, 8, 3;
    %set/v v0xa689f0_0, 8, 3;
    %set/v v0x1302380_0, 1, 1;
    %set/v v0xa68fd0_0, 1, 1;
    %jmp T_28.91;
    %jmp T_28.91;
T_28.91 ;
T_28.91 ;
    %load/v 8, v0x13022b0_0, 8;
    %load/v 8, v0xa68f00_0, 8;
    %mov 16, 2, 4;
    %mov 16, 2, 4;
    %movi 20, 9, 4;
    %movi 20, 9, 4;
    %cmp/x 8, 16, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_28.92, 4;
    %jmp/1 T_28.92, 4;
    %mov 24, 2, 4;
    %mov 24, 2, 4;
Line 5767... Line 5382...
    %cmp/x 8, 56, 8;
    %cmp/x 8, 56, 8;
    %jmp/1 T_28.97, 4;
    %jmp/1 T_28.97, 4;
    %jmp T_28.98;
    %jmp T_28.98;
T_28.92 ;
T_28.92 ;
    %movi 8, 3, 3;
    %movi 8, 3, 3;
    %set/v v0x1301da0_0, 8, 3;
    %set/v v0xa689f0_0, 8, 3;
    %jmp T_28.98;
    %jmp T_28.98;
T_28.93 ;
T_28.93 ;
    %movi 8, 3, 3;
    %movi 8, 3, 3;
    %set/v v0x1301da0_0, 8, 3;
    %set/v v0xa689f0_0, 8, 3;
    %jmp T_28.98;
    %jmp T_28.98;
T_28.94 ;
T_28.94 ;
    %movi 8, 4, 3;
    %movi 8, 4, 3;
    %set/v v0x1301da0_0, 8, 3;
    %set/v v0xa689f0_0, 8, 3;
    %jmp T_28.98;
    %jmp T_28.98;
T_28.95 ;
T_28.95 ;
    %movi 8, 4, 3;
    %movi 8, 4, 3;
    %set/v v0x1301da0_0, 8, 3;
    %set/v v0xa689f0_0, 8, 3;
    %jmp T_28.98;
    %jmp T_28.98;
T_28.96 ;
T_28.96 ;
    %movi 8, 5, 3;
    %movi 8, 5, 3;
    %set/v v0x1301da0_0, 8, 3;
    %set/v v0xa689f0_0, 8, 3;
    %jmp T_28.98;
    %jmp T_28.98;
T_28.97 ;
T_28.97 ;
    %movi 8, 5, 3;
    %movi 8, 5, 3;
    %set/v v0x1301da0_0, 8, 3;
    %set/v v0xa689f0_0, 8, 3;
    %jmp T_28.98;
    %jmp T_28.98;
T_28.98 ;
T_28.98 ;
T_28.84 ;
T_28.84 ;
    %jmp T_28;
    %jmp T_28;
    .thread T_28, $push;
    .thread T_28, $push;
    .scope S_0x1300d00;
    .scope S_0xa67950;
T_29 ;
T_29 ;
    %wait E_0x1300f60;
    %wait E_0xa67bb0;
    %set/v v0x1301640_0, 0, 1;
    %set/v v0xa68290_0, 0, 1;
    %set/v v0x1301850_0, 0, 1;
    %set/v v0xa684a0_0, 0, 1;
    %set/v v0x1301700_0, 0, 1;
    %set/v v0xa68350_0, 0, 1;
    %set/v v0x1301910_0, 0, 1;
    %set/v v0xa68560_0, 0, 1;
    %load/v 8, v0x13014a0_0, 8;
    %load/v 8, v0xa680f0_0, 8;
    %mov 16, 2, 7;
    %mov 16, 2, 7;
    %movi 23, 0, 1;
    %movi 23, 0, 1;
    %cmp/x 8, 16, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_29.0, 4;
    %jmp/1 T_29.0, 4;
    %movi 24, 4, 5;
    %movi 24, 4, 5;
Line 5843... Line 5458...
    %movi 79, 1, 1;
    %movi 79, 1, 1;
    %cmp/x 8, 72, 8;
    %cmp/x 8, 72, 8;
    %jmp/1 T_29.7, 4;
    %jmp/1 T_29.7, 4;
    %jmp T_29.8;
    %jmp T_29.8;
T_29.0 ;
T_29.0 ;
    %set/v v0x1301640_0, 1, 1;
    %set/v v0xa68290_0, 1, 1;
    %jmp T_29.8;
    %jmp T_29.8;
T_29.1 ;
T_29.1 ;
    %set/v v0x1301640_0, 1, 1;
    %set/v v0xa68290_0, 1, 1;
    %jmp T_29.8;
    %jmp T_29.8;
T_29.2 ;
T_29.2 ;
    %set/v v0x1301850_0, 1, 1;
    %set/v v0xa684a0_0, 1, 1;
    %jmp T_29.8;
    %jmp T_29.8;
T_29.3 ;
T_29.3 ;
    %set/v v0x1301850_0, 1, 1;
    %set/v v0xa684a0_0, 1, 1;
    %jmp T_29.8;
    %jmp T_29.8;
T_29.4 ;
T_29.4 ;
    %set/v v0x1301700_0, 1, 1;
    %set/v v0xa68350_0, 1, 1;
    %jmp T_29.8;
    %jmp T_29.8;
T_29.5 ;
T_29.5 ;
    %set/v v0x1301700_0, 1, 1;
    %set/v v0xa68350_0, 1, 1;
    %jmp T_29.8;
    %jmp T_29.8;
T_29.6 ;
T_29.6 ;
    %set/v v0x1301700_0, 1, 1;
    %set/v v0xa68350_0, 1, 1;
    %jmp T_29.8;
    %jmp T_29.8;
T_29.7 ;
T_29.7 ;
    %set/v v0x1301910_0, 1, 1;
    %set/v v0xa68560_0, 1, 1;
    %jmp T_29.8;
    %jmp T_29.8;
T_29.8 ;
T_29.8 ;
    %jmp T_29;
    %jmp T_29;
    .thread T_29, $push;
    .thread T_29, $push;
    .scope S_0x13002b0;
    .scope S_0xa66f00;
T_30 ;
T_30 ;
    %wait E_0x1300540;
    %wait E_0xa67190;
    %set/v v0x1300680_0, 0, 5;
    %set/v v0xa672d0_0, 0, 5;
    %set/v v0x1300740_0, 0, 2;
    %set/v v0xa67390_0, 0, 2;
    %load/v 8, v0x13008a0_0, 8;
    %load/v 8, v0xa674f0_0, 8;
    %movi 16, 0, 4;
    %movi 16, 0, 4;
    %mov 20, 2, 3;
    %mov 20, 2, 3;
    %movi 23, 1, 1;
    %movi 23, 1, 1;
    %cmp/x 8, 16, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_30.0, 4;
    %jmp/1 T_30.0, 4;
Line 6077... Line 5692...
    %cmp/x 8, 256, 8;
    %cmp/x 8, 256, 8;
    %jmp/1 T_30.46, 4;
    %jmp/1 T_30.46, 4;
    %jmp T_30.47;
    %jmp T_30.47;
T_30.0 ;
T_30.0 ;
    %movi 8, 9, 5;
    %movi 8, 9, 5;
    %set/v v0x1300680_0, 8, 5;
    %set/v v0xa672d0_0, 8, 5;
    %jmp T_30.47;
    %jmp T_30.47;
T_30.1 ;
T_30.1 ;
    %movi 8, 9, 5;
    %movi 8, 9, 5;
    %set/v v0x1300680_0, 8, 5;
    %set/v v0xa672d0_0, 8, 5;
    %jmp T_30.47;
    %jmp T_30.47;
T_30.2 ;
T_30.2 ;
    %movi 8, 11, 5;
    %movi 8, 11, 5;
    %set/v v0x1300680_0, 8, 5;
    %set/v v0xa672d0_0, 8, 5;
    %jmp T_30.47;
    %jmp T_30.47;
T_30.3 ;
T_30.3 ;
    %movi 8, 9, 5;
    %movi 8, 9, 5;
    %set/v v0x1300680_0, 8, 5;
    %set/v v0xa672d0_0, 8, 5;
    %jmp T_30.47;
    %jmp T_30.47;
T_30.4 ;
T_30.4 ;
    %movi 8, 8, 5;
    %movi 8, 8, 5;
    %set/v v0x1300680_0, 8, 5;
    %set/v v0xa672d0_0, 8, 5;
    %jmp T_30.47;
    %jmp T_30.47;
T_30.5 ;
T_30.5 ;
    %movi 8, 5, 5;
    %movi 8, 5, 5;
    %set/v v0x1300680_0, 8, 5;
    %set/v v0xa672d0_0, 8, 5;
    %jmp T_30.47;
    %jmp T_30.47;
T_30.6 ;
T_30.6 ;
    %movi 8, 5, 5;
    %movi 8, 5, 5;
    %set/v v0x1300680_0, 8, 5;
    %set/v v0xa672d0_0, 8, 5;
    %jmp T_30.47;
    %jmp T_30.47;
T_30.7 ;
T_30.7 ;
    %movi 8, 4, 5;
    %movi 8, 4, 5;
    %set/v v0x1300680_0, 8, 5;
    %set/v v0xa672d0_0, 8, 5;
    %jmp T_30.47;
    %jmp T_30.47;
T_30.8 ;
T_30.8 ;
    %movi 8, 2, 5;
    %movi 8, 2, 5;
    %set/v v0x1300680_0, 8, 5;
    %set/v v0xa672d0_0, 8, 5;
    %jmp T_30.47;
    %jmp T_30.47;
T_30.9 ;
T_30.9 ;
    %movi 8, 7, 5;
    %movi 8, 7, 5;
    %set/v v0x1300680_0, 8, 5;
    %set/v v0xa672d0_0, 8, 5;
    %jmp T_30.47;
    %jmp T_30.47;
T_30.10 ;
T_30.10 ;
    %movi 8, 10, 5;
    %movi 8, 10, 5;
    %set/v v0x1300680_0, 8, 5;
    %set/v v0xa672d0_0, 8, 5;
    %jmp T_30.47;
    %jmp T_30.47;
T_30.11 ;
T_30.11 ;
    %movi 8, 6, 5;
    %movi 8, 6, 5;
    %set/v v0x1300680_0, 8, 5;
    %set/v v0xa672d0_0, 8, 5;
    %jmp T_30.47;
    %jmp T_30.47;
T_30.12 ;
T_30.12 ;
    %movi 8, 8, 5;
    %movi 8, 8, 5;
    %set/v v0x1300680_0, 8, 5;
    %set/v v0xa672d0_0, 8, 5;
    %jmp T_30.47;
    %jmp T_30.47;
T_30.13 ;
T_30.13 ;
    %movi 8, 9, 5;
    %movi 8, 9, 5;
    %set/v v0x1300680_0, 8, 5;
    %set/v v0xa672d0_0, 8, 5;
    %jmp T_30.47;
    %jmp T_30.47;
T_30.14 ;
T_30.14 ;
    %movi 8, 4, 5;
    %movi 8, 4, 5;
    %set/v v0x1300680_0, 8, 5;
    %set/v v0xa672d0_0, 8, 5;
    %jmp T_30.47;
    %jmp T_30.47;
T_30.15 ;
T_30.15 ;
    %movi 8, 4, 5;
    %movi 8, 4, 5;
    %set/v v0x1300680_0, 8, 5;
    %set/v v0xa672d0_0, 8, 5;
    %jmp T_30.47;
    %jmp T_30.47;
T_30.16 ;
T_30.16 ;
    %movi 8, 4, 5;
    %movi 8, 4, 5;
    %set/v v0x1300680_0, 8, 5;
    %set/v v0xa672d0_0, 8, 5;
    %jmp T_30.47;
    %jmp T_30.47;
T_30.17 ;
T_30.17 ;
    %movi 8, 2, 5;
    %movi 8, 2, 5;
    %set/v v0x1300680_0, 8, 5;
    %set/v v0xa672d0_0, 8, 5;
    %jmp T_30.47;
    %jmp T_30.47;
T_30.18 ;
T_30.18 ;
    %movi 8, 21, 5;
    %movi 8, 21, 5;
    %set/v v0x1300680_0, 8, 5;
    %set/v v0xa672d0_0, 8, 5;
    %jmp T_30.47;
    %jmp T_30.47;
T_30.19 ;
T_30.19 ;
    %movi 8, 21, 5;
    %movi 8, 21, 5;
    %set/v v0x1300680_0, 8, 5;
    %set/v v0xa672d0_0, 8, 5;
    %jmp T_30.47;
    %jmp T_30.47;
T_30.20 ;
T_30.20 ;
    %movi 8, 22, 5;
    %movi 8, 22, 5;
    %set/v v0x1300680_0, 8, 5;
    %set/v v0xa672d0_0, 8, 5;
    %jmp T_30.47;
    %jmp T_30.47;
T_30.21 ;
T_30.21 ;
    %movi 8, 22, 5;
    %movi 8, 22, 5;
    %set/v v0x1300680_0, 8, 5;
    %set/v v0xa672d0_0, 8, 5;
    %jmp T_30.47;
    %jmp T_30.47;
T_30.22 ;
T_30.22 ;
    %movi 8, 16, 5;
    %movi 8, 16, 5;
    %set/v v0x1300680_0, 8, 5;
    %set/v v0xa672d0_0, 8, 5;
    %jmp T_30.47;
    %jmp T_30.47;
T_30.23 ;
T_30.23 ;
    %movi 8, 16, 5;
    %movi 8, 16, 5;
    %set/v v0x1300680_0, 8, 5;
    %set/v v0xa672d0_0, 8, 5;
    %jmp T_30.47;
    %jmp T_30.47;
T_30.24 ;
T_30.24 ;
    %movi 8, 17, 5;
    %movi 8, 17, 5;
    %set/v v0x1300680_0, 8, 5;
    %set/v v0xa672d0_0, 8, 5;
    %jmp T_30.47;
    %jmp T_30.47;
T_30.25 ;
T_30.25 ;
    %movi 8, 17, 5;
    %movi 8, 17, 5;
    %set/v v0x1300680_0, 8, 5;
    %set/v v0xa672d0_0, 8, 5;
    %jmp T_30.47;
    %jmp T_30.47;
T_30.26 ;
T_30.26 ;
    %movi 8, 20, 5;
    %movi 8, 20, 5;
    %set/v v0x1300680_0, 8, 5;
    %set/v v0xa672d0_0, 8, 5;
    %jmp T_30.47;
    %jmp T_30.47;
T_30.27 ;
T_30.27 ;
    %movi 8, 20, 5;
    %movi 8, 20, 5;
    %set/v v0x1300680_0, 8, 5;
    %set/v v0xa672d0_0, 8, 5;
    %jmp T_30.47;
    %jmp T_30.47;
T_30.28 ;
T_30.28 ;
    %movi 8, 19, 5;
    %movi 8, 19, 5;
    %set/v v0x1300680_0, 8, 5;
    %set/v v0xa672d0_0, 8, 5;
    %jmp T_30.47;
    %jmp T_30.47;
T_30.29 ;
T_30.29 ;
    %movi 8, 19, 5;
    %movi 8, 19, 5;
    %set/v v0x1300680_0, 8, 5;
    %set/v v0xa672d0_0, 8, 5;
    %jmp T_30.47;
    %jmp T_30.47;
T_30.30 ;
T_30.30 ;
    %movi 8, 19, 5;
    %movi 8, 19, 5;
    %set/v v0x1300680_0, 8, 5;
    %set/v v0xa672d0_0, 8, 5;
    %jmp T_30.47;
    %jmp T_30.47;
T_30.31 ;
T_30.31 ;
    %movi 8, 19, 5;
    %movi 8, 19, 5;
    %set/v v0x1300680_0, 8, 5;
    %set/v v0xa672d0_0, 8, 5;
    %jmp T_30.47;
    %jmp T_30.47;
T_30.32 ;
T_30.32 ;
    %movi 8, 9, 5;
    %movi 8, 9, 5;
    %set/v v0x1300680_0, 8, 5;
    %set/v v0xa672d0_0, 8, 5;
    %set/v v0x1300740_0, 1, 2;
    %set/v v0xa67390_0, 1, 2;
    %jmp T_30.47;
    %jmp T_30.47;
T_30.33 ;
T_30.33 ;
    %movi 8, 9, 5;
    %movi 8, 9, 5;
    %set/v v0x1300680_0, 8, 5;
    %set/v v0xa672d0_0, 8, 5;
    %set/v v0x1300740_0, 1, 2;
    %set/v v0xa67390_0, 1, 2;
    %jmp T_30.47;
    %jmp T_30.47;
T_30.34 ;
T_30.34 ;
    %movi 8, 8, 5;
    %movi 8, 8, 5;
    %set/v v0x1300680_0, 8, 5;
    %set/v v0xa672d0_0, 8, 5;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v0x1300740_0, 8, 2;
    %set/v v0xa67390_0, 8, 2;
    %jmp T_30.47;
    %jmp T_30.47;
T_30.35 ;
T_30.35 ;
    %movi 8, 8, 5;
    %movi 8, 8, 5;
    %set/v v0x1300680_0, 8, 5;
    %set/v v0xa672d0_0, 8, 5;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %set/v v0x1300740_0, 8, 2;
    %set/v v0xa67390_0, 8, 2;
    %jmp T_30.47;
    %jmp T_30.47;
T_30.36 ;
T_30.36 ;
    %movi 8, 5, 5;
    %movi 8, 5, 5;
    %set/v v0x1300680_0, 8, 5;
    %set/v v0xa672d0_0, 8, 5;
    %jmp T_30.47;
    %jmp T_30.47;
T_30.37 ;
T_30.37 ;
    %movi 8, 5, 5;
    %movi 8, 5, 5;
    %set/v v0x1300680_0, 8, 5;
    %set/v v0xa672d0_0, 8, 5;
    %jmp T_30.47;
    %jmp T_30.47;
T_30.38 ;
T_30.38 ;
    %movi 8, 4, 5;
    %movi 8, 4, 5;
    %set/v v0x1300680_0, 8, 5;
    %set/v v0xa672d0_0, 8, 5;
    %movi 8, 2, 2;
    %movi 8, 2, 2;
    %set/v v0x1300740_0, 8, 2;
    %set/v v0xa67390_0, 8, 2;
    %jmp T_30.47;
    %jmp T_30.47;
T_30.39 ;
T_30.39 ;
    %movi 8, 4, 5;
    %movi 8, 4, 5;
    %set/v v0x1300680_0, 8, 5;
    %set/v v0xa672d0_0, 8, 5;
    %movi 8, 2, 2;
    %movi 8, 2, 2;
    %set/v v0x1300740_0, 8, 2;
    %set/v v0xa67390_0, 8, 2;
    %jmp T_30.47;
    %jmp T_30.47;
T_30.40 ;
T_30.40 ;
    %movi 8, 26, 5;
    %movi 8, 26, 5;
    %set/v v0x1300680_0, 8, 5;
    %set/v v0xa672d0_0, 8, 5;
    %jmp T_30.47;
    %jmp T_30.47;
T_30.41 ;
T_30.41 ;
    %movi 8, 24, 5;
    %movi 8, 24, 5;
    %set/v v0x1300680_0, 8, 5;
    %set/v v0xa672d0_0, 8, 5;
    %jmp T_30.47;
    %jmp T_30.47;
T_30.42 ;
T_30.42 ;
    %movi 8, 25, 5;
    %movi 8, 25, 5;
    %set/v v0x1300680_0, 8, 5;
    %set/v v0xa672d0_0, 8, 5;
    %jmp T_30.47;
    %jmp T_30.47;
T_30.43 ;
T_30.43 ;
    %movi 8, 25, 5;
    %movi 8, 25, 5;
    %set/v v0x1300680_0, 8, 5;
    %set/v v0xa672d0_0, 8, 5;
    %jmp T_30.47;
    %jmp T_30.47;
T_30.44 ;
T_30.44 ;
    %movi 8, 1, 5;
    %movi 8, 1, 5;
    %set/v v0x1300680_0, 8, 5;
    %set/v v0xa672d0_0, 8, 5;
    %jmp T_30.47;
    %jmp T_30.47;
T_30.45 ;
T_30.45 ;
    %movi 8, 28, 5;
    %movi 8, 28, 5;
    %set/v v0x1300680_0, 8, 5;
    %set/v v0xa672d0_0, 8, 5;
    %jmp T_30.47;
    %jmp T_30.47;
T_30.46 ;
T_30.46 ;
    %movi 8, 27, 5;
    %movi 8, 27, 5;
    %set/v v0x1300680_0, 8, 5;
    %set/v v0xa672d0_0, 8, 5;
    %jmp T_30.47;
    %jmp T_30.47;
T_30.47 ;
T_30.47 ;
    %load/v 8, v0x1300980_0, 1;
    %load/v 8, v0xa675d0_0, 1;
    %jmp/0xz  T_30.48, 8;
    %jmp/0xz  T_30.48, 8;
    %load/v 8, v0x1300b00_0, 8;
    %load/v 8, v0xa67750_0, 8;
    %movi 16, 3, 4;
    %movi 16, 3, 4;
    %mov 20, 2, 2;
    %mov 20, 2, 2;
    %movi 22, 2, 2;
    %movi 22, 2, 2;
    %cmp/x 8, 16, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_30.50, 4;
    %jmp/1 T_30.50, 4;
Line 6300... Line 5915...
    %cmp/x 8, 40, 8;
    %cmp/x 8, 40, 8;
    %jmp/1 T_30.53, 4;
    %jmp/1 T_30.53, 4;
    %jmp T_30.54;
    %jmp T_30.54;
T_30.50 ;
T_30.50 ;
    %movi 8, 9, 5;
    %movi 8, 9, 5;
    %set/v v0x1300680_0, 8, 5;
    %set/v v0xa672d0_0, 8, 5;
    %jmp T_30.54;
    %jmp T_30.54;
T_30.51 ;
T_30.51 ;
    %movi 8, 9, 5;
    %movi 8, 9, 5;
    %set/v v0x1300680_0, 8, 5;
    %set/v v0xa672d0_0, 8, 5;
    %jmp T_30.54;
    %jmp T_30.54;
T_30.52 ;
T_30.52 ;
    %movi 8, 4, 5;
    %movi 8, 4, 5;
    %set/v v0x1300680_0, 8, 5;
    %set/v v0xa672d0_0, 8, 5;
    %jmp T_30.54;
    %jmp T_30.54;
T_30.53 ;
T_30.53 ;
    %movi 8, 2, 5;
    %movi 8, 2, 5;
    %set/v v0x1300680_0, 8, 5;
    %set/v v0xa672d0_0, 8, 5;
    %jmp T_30.54;
    %jmp T_30.54;
T_30.54 ;
T_30.54 ;
T_30.48 ;
T_30.48 ;
    %load/v 8, v0x1300a40_0, 1;
    %load/v 8, v0xa67690_0, 1;
    %jmp/0xz  T_30.55, 8;
    %jmp/0xz  T_30.55, 8;
    %load/v 8, v0x1300b00_0, 8;
    %load/v 8, v0xa67750_0, 8;
    %movi 16, 3, 4;
    %movi 16, 3, 4;
    %mov 20, 2, 2;
    %mov 20, 2, 2;
    %movi 22, 2, 2;
    %movi 22, 2, 2;
    %cmp/x 8, 16, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_30.57, 4;
    %jmp/1 T_30.57, 4;
Line 6342... Line 5957...
    %cmp/x 8, 40, 8;
    %cmp/x 8, 40, 8;
    %jmp/1 T_30.60, 4;
    %jmp/1 T_30.60, 4;
    %jmp T_30.61;
    %jmp T_30.61;
T_30.57 ;
T_30.57 ;
    %movi 8, 9, 5;
    %movi 8, 9, 5;
    %set/v v0x1300680_0, 8, 5;
    %set/v v0xa672d0_0, 8, 5;
    %jmp T_30.61;
    %jmp T_30.61;
T_30.58 ;
T_30.58 ;
    %movi 8, 9, 5;
    %movi 8, 9, 5;
    %set/v v0x1300680_0, 8, 5;
    %set/v v0xa672d0_0, 8, 5;
    %jmp T_30.61;
    %jmp T_30.61;
T_30.59 ;
T_30.59 ;
    %movi 8, 4, 5;
    %movi 8, 4, 5;
    %set/v v0x1300680_0, 8, 5;
    %set/v v0xa672d0_0, 8, 5;
    %jmp T_30.61;
    %jmp T_30.61;
T_30.60 ;
T_30.60 ;
    %movi 8, 2, 5;
    %movi 8, 2, 5;
    %set/v v0x1300680_0, 8, 5;
    %set/v v0xa672d0_0, 8, 5;
    %jmp T_30.61;
    %jmp T_30.61;
T_30.61 ;
T_30.61 ;
T_30.55 ;
T_30.55 ;
    %jmp T_30;
    %jmp T_30;
    .thread T_30, $push;
    .thread T_30, $push;
    .scope S_0x1308b70;
    .scope S_0xa6f7c0;
T_31 ;
T_31 ;
    %wait E_0x1308cf0;
    %wait E_0xa6f940;
    %set/v v0x1308ee0_0, 0, 1;
    %set/v v0xa6fb30_0, 0, 1;
    %load/v 8, v0x1308fa0_0, 8;
    %load/v 8, v0xa6fbf0_0, 8;
    %cmpi/u 8, 22, 8;
    %cmpi/u 8, 22, 8;
    %mov 8, 4, 1;
    %mov 8, 4, 1;
    %load/v 9, v0x1308fa0_0, 8;
    %load/v 9, v0xa6fbf0_0, 8;
    %cmpi/u 9, 23, 8;
    %cmpi/u 9, 23, 8;
    %or 8, 4, 1;
    %or 8, 4, 1;
    %load/v 9, v0x1308fa0_0, 8;
    %load/v 9, v0xa6fbf0_0, 8;
    %cmpi/u 9, 141, 8;
    %cmpi/u 9, 141, 8;
    %or 8, 4, 1;
    %or 8, 4, 1;
    %jmp/0xz  T_31.0, 8;
    %jmp/0xz  T_31.0, 8;
    %set/v v0x1308ee0_0, 1, 1;
    %set/v v0xa6fb30_0, 1, 1;
T_31.0 ;
T_31.0 ;
    %ix/load 1, 4, 0;
    %ix/load 1, 4, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_31.2, 4;
    %jmp/1 T_31.2, 4;
    %load/x1p 8, v0x1308fa0_0, 4;
    %load/x1p 8, v0xa6fbf0_0, 4;
    %jmp T_31.3;
    %jmp T_31.3;
T_31.2 ;
T_31.2 ;
    %mov 8, 2, 4;
    %mov 8, 2, 4;
T_31.3 ;
T_31.3 ;
; Save base=8 wid=4 in lookaside.
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 2, 4;
    %cmpi/u 8, 2, 4;
    %jmp/0xz  T_31.4, 4;
    %jmp/0xz  T_31.4, 4;
    %load/v 8, v0x1308fa0_0, 4; Only need 4 of 8 bits
    %load/v 8, v0xa6fbf0_0, 4; Only need 4 of 8 bits
; Save base=8 wid=4 in lookaside.
; Save base=8 wid=4 in lookaside.
    %cmpi/u 8, 0, 4;
    %cmpi/u 8, 0, 4;
    %jmp/1 T_31.6, 6;
    %jmp/1 T_31.6, 6;
    %cmpi/u 8, 1, 4;
    %cmpi/u 8, 1, 4;
    %jmp/1 T_31.7, 6;
    %jmp/1 T_31.7, 6;
Line 6423... Line 6038...
    %jmp/1 T_31.20, 6;
    %jmp/1 T_31.20, 6;
    %cmpi/u 8, 15, 4;
    %cmpi/u 8, 15, 4;
    %jmp/1 T_31.21, 6;
    %jmp/1 T_31.21, 6;
    %jmp T_31.22;
    %jmp T_31.22;
T_31.6 ;
T_31.6 ;
    %set/v v0x1308ee0_0, 1, 1;
    %set/v v0xa6fb30_0, 1, 1;
    %jmp T_31.22;
    %jmp T_31.22;
T_31.7 ;
T_31.7 ;
    %set/v v0x1308ee0_0, 0, 1;
    %set/v v0xa6fb30_0, 0, 1;
    %jmp T_31.22;
    %jmp T_31.22;
T_31.8 ;
T_31.8 ;
    %load/v 8, v0x1308d70_0, 1; Only need 1 of 8 bits
    %load/v 8, v0xa6f9c0_0, 1; Only need 1 of 8 bits
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %ix/load 1, 2, 0;
    %ix/load 1, 2, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_31.23, 4;
    %jmp/1 T_31.23, 4;
    %load/x1p 9, v0x1308d70_0, 1;
    %load/x1p 9, v0xa6f9c0_0, 1;
    %jmp T_31.24;
    %jmp T_31.24;
T_31.23 ;
T_31.23 ;
    %mov 9, 2, 1;
    %mov 9, 2, 1;
T_31.24 ;
T_31.24 ;
; Save base=9 wid=1 in lookaside.
; Save base=9 wid=1 in lookaside.
    %and 8, 9, 1;
    %and 8, 9, 1;
    %inv 8, 1;
    %inv 8, 1;
    %set/v v0x1308ee0_0, 8, 1;
    %set/v v0xa6fb30_0, 8, 1;
    %jmp T_31.22;
    %jmp T_31.22;
T_31.9 ;
T_31.9 ;
    %load/v 8, v0x1308d70_0, 1; Only need 1 of 8 bits
    %load/v 8, v0xa6f9c0_0, 1; Only need 1 of 8 bits
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %ix/load 1, 2, 0;
    %ix/load 1, 2, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_31.25, 4;
    %jmp/1 T_31.25, 4;
    %load/x1p 9, v0x1308d70_0, 1;
    %load/x1p 9, v0xa6f9c0_0, 1;
    %jmp T_31.26;
    %jmp T_31.26;
T_31.25 ;
T_31.25 ;
    %mov 9, 2, 1;
    %mov 9, 2, 1;
T_31.26 ;
T_31.26 ;
; Save base=9 wid=1 in lookaside.
; Save base=9 wid=1 in lookaside.
    %or 8, 9, 1;
    %or 8, 9, 1;
    %set/v v0x1308ee0_0, 8, 1;
    %set/v v0xa6fb30_0, 8, 1;
    %jmp T_31.22;
    %jmp T_31.22;
T_31.10 ;
T_31.10 ;
    %load/v 8, v0x1308d70_0, 1; Only need 1 of 8 bits
    %load/v 8, v0xa6f9c0_0, 1; Only need 1 of 8 bits
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %inv 8, 1;
    %inv 8, 1;
    %set/v v0x1308ee0_0, 8, 1;
    %set/v v0xa6fb30_0, 8, 1;
    %jmp T_31.22;
    %jmp T_31.22;
T_31.11 ;
T_31.11 ;
    %load/v 8, v0x1308d70_0, 1; Only need 1 of 8 bits
    %load/v 8, v0xa6f9c0_0, 1; Only need 1 of 8 bits
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %set/v v0x1308ee0_0, 8, 1;
    %set/v v0xa6fb30_0, 8, 1;
    %jmp T_31.22;
    %jmp T_31.22;
T_31.12 ;
T_31.12 ;
    %ix/load 1, 2, 0;
    %ix/load 1, 2, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_31.27, 4;
    %jmp/1 T_31.27, 4;
    %load/x1p 8, v0x1308d70_0, 1;
    %load/x1p 8, v0xa6f9c0_0, 1;
    %jmp T_31.28;
    %jmp T_31.28;
T_31.27 ;
T_31.27 ;
    %mov 8, 2, 1;
    %mov 8, 2, 1;
T_31.28 ;
T_31.28 ;
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %inv 8, 1;
    %inv 8, 1;
    %set/v v0x1308ee0_0, 8, 1;
    %set/v v0xa6fb30_0, 8, 1;
    %jmp T_31.22;
    %jmp T_31.22;
T_31.13 ;
T_31.13 ;
    %ix/load 1, 2, 0;
    %ix/load 1, 2, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_31.29, 4;
    %jmp/1 T_31.29, 4;
    %load/x1p 8, v0x1308d70_0, 1;
    %load/x1p 8, v0xa6f9c0_0, 1;
    %jmp T_31.30;
    %jmp T_31.30;
T_31.29 ;
T_31.29 ;
    %mov 8, 2, 1;
    %mov 8, 2, 1;
T_31.30 ;
T_31.30 ;
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %set/v v0x1308ee0_0, 8, 1;
    %set/v v0xa6fb30_0, 8, 1;
    %jmp T_31.22;
    %jmp T_31.22;
T_31.14 ;
T_31.14 ;
    %ix/load 1, 1, 0;
    %ix/load 1, 1, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_31.31, 4;
    %jmp/1 T_31.31, 4;
    %load/x1p 8, v0x1308d70_0, 1;
    %load/x1p 8, v0xa6f9c0_0, 1;
    %jmp T_31.32;
    %jmp T_31.32;
T_31.31 ;
T_31.31 ;
    %mov 8, 2, 1;
    %mov 8, 2, 1;
T_31.32 ;
T_31.32 ;
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %inv 8, 1;
    %inv 8, 1;
    %set/v v0x1308ee0_0, 8, 1;
    %set/v v0xa6fb30_0, 8, 1;
    %jmp T_31.22;
    %jmp T_31.22;
T_31.15 ;
T_31.15 ;
    %ix/load 1, 1, 0;
    %ix/load 1, 1, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_31.33, 4;
    %jmp/1 T_31.33, 4;
    %load/x1p 8, v0x1308d70_0, 1;
    %load/x1p 8, v0xa6f9c0_0, 1;
    %jmp T_31.34;
    %jmp T_31.34;
T_31.33 ;
T_31.33 ;
    %mov 8, 2, 1;
    %mov 8, 2, 1;
T_31.34 ;
T_31.34 ;
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %set/v v0x1308ee0_0, 8, 1;
    %set/v v0xa6fb30_0, 8, 1;
    %jmp T_31.22;
    %jmp T_31.22;
T_31.16 ;
T_31.16 ;
    %ix/load 1, 3, 0;
    %ix/load 1, 3, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_31.35, 4;
    %jmp/1 T_31.35, 4;
    %load/x1p 8, v0x1308d70_0, 1;
    %load/x1p 8, v0xa6f9c0_0, 1;
    %jmp T_31.36;
    %jmp T_31.36;
T_31.35 ;
T_31.35 ;
    %mov 8, 2, 1;
    %mov 8, 2, 1;
T_31.36 ;
T_31.36 ;
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %inv 8, 1;
    %inv 8, 1;
    %set/v v0x1308ee0_0, 8, 1;
    %set/v v0xa6fb30_0, 8, 1;
    %jmp T_31.22;
    %jmp T_31.22;
T_31.17 ;
T_31.17 ;
    %ix/load 1, 3, 0;
    %ix/load 1, 3, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_31.37, 4;
    %jmp/1 T_31.37, 4;
    %load/x1p 8, v0x1308d70_0, 1;
    %load/x1p 8, v0xa6f9c0_0, 1;
    %jmp T_31.38;
    %jmp T_31.38;
T_31.37 ;
T_31.37 ;
    %mov 8, 2, 1;
    %mov 8, 2, 1;
T_31.38 ;
T_31.38 ;
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %set/v v0x1308ee0_0, 8, 1;
    %set/v v0xa6fb30_0, 8, 1;
    %jmp T_31.22;
    %jmp T_31.22;
T_31.18 ;
T_31.18 ;
    %ix/load 1, 3, 0;
    %ix/load 1, 3, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_31.39, 4;
    %jmp/1 T_31.39, 4;
    %load/x1p 8, v0x1308d70_0, 1;
    %load/x1p 8, v0xa6f9c0_0, 1;
    %jmp T_31.40;
    %jmp T_31.40;
T_31.39 ;
T_31.39 ;
    %mov 8, 2, 1;
    %mov 8, 2, 1;
T_31.40 ;
T_31.40 ;
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %ix/load 1, 1, 0;
    %ix/load 1, 1, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_31.41, 4;
    %jmp/1 T_31.41, 4;
    %load/x1p 9, v0x1308d70_0, 1;
    %load/x1p 9, v0xa6f9c0_0, 1;
    %jmp T_31.42;
    %jmp T_31.42;
T_31.41 ;
T_31.41 ;
    %mov 9, 2, 1;
    %mov 9, 2, 1;
T_31.42 ;
T_31.42 ;
; Save base=9 wid=1 in lookaside.
; Save base=9 wid=1 in lookaside.
    %cmp/u 8, 9, 1;
    %cmp/u 8, 9, 1;
    %mov 8, 4, 1;
    %mov 8, 4, 1;
    %set/v v0x1308ee0_0, 8, 1;
    %set/v v0xa6fb30_0, 8, 1;
    %jmp T_31.22;
    %jmp T_31.22;
T_31.19 ;
T_31.19 ;
    %ix/load 1, 3, 0;
    %ix/load 1, 3, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_31.43, 4;
    %jmp/1 T_31.43, 4;
    %load/x1p 8, v0x1308d70_0, 1;
    %load/x1p 8, v0xa6f9c0_0, 1;
    %jmp T_31.44;
    %jmp T_31.44;
T_31.43 ;
T_31.43 ;
    %mov 8, 2, 1;
    %mov 8, 2, 1;
T_31.44 ;
T_31.44 ;
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %ix/load 1, 1, 0;
    %ix/load 1, 1, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_31.45, 4;
    %jmp/1 T_31.45, 4;
    %load/x1p 9, v0x1308d70_0, 1;
    %load/x1p 9, v0xa6f9c0_0, 1;
    %jmp T_31.46;
    %jmp T_31.46;
T_31.45 ;
T_31.45 ;
    %mov 9, 2, 1;
    %mov 9, 2, 1;
T_31.46 ;
T_31.46 ;
; Save base=9 wid=1 in lookaside.
; Save base=9 wid=1 in lookaside.
    %cmp/u 8, 9, 1;
    %cmp/u 8, 9, 1;
    %inv 4, 1;
    %inv 4, 1;
    %mov 8, 4, 1;
    %mov 8, 4, 1;
    %set/v v0x1308ee0_0, 8, 1;
    %set/v v0xa6fb30_0, 8, 1;
    %jmp T_31.22;
    %jmp T_31.22;
T_31.20 ;
T_31.20 ;
    %ix/load 1, 3, 0;
    %ix/load 1, 3, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_31.47, 4;
    %jmp/1 T_31.47, 4;
    %load/x1p 8, v0x1308d70_0, 1;
    %load/x1p 8, v0xa6f9c0_0, 1;
    %jmp T_31.48;
    %jmp T_31.48;
T_31.47 ;
T_31.47 ;
    %mov 8, 2, 1;
    %mov 8, 2, 1;
T_31.48 ;
T_31.48 ;
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %ix/load 1, 1, 0;
    %ix/load 1, 1, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_31.49, 4;
    %jmp/1 T_31.49, 4;
    %load/x1p 9, v0x1308d70_0, 1;
    %load/x1p 9, v0xa6f9c0_0, 1;
    %jmp T_31.50;
    %jmp T_31.50;
T_31.49 ;
T_31.49 ;
    %mov 9, 2, 1;
    %mov 9, 2, 1;
T_31.50 ;
T_31.50 ;
; Save base=9 wid=1 in lookaside.
; Save base=9 wid=1 in lookaside.
    %cmp/u 8, 9, 1;
    %cmp/u 8, 9, 1;
    %mov 8, 4, 1;
    %mov 8, 4, 1;
    %ix/load 1, 2, 0;
    %ix/load 1, 2, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_31.51, 4;
    %jmp/1 T_31.51, 4;
    %load/x1p 9, v0x1308d70_0, 1;
    %load/x1p 9, v0xa6f9c0_0, 1;
    %jmp T_31.52;
    %jmp T_31.52;
T_31.51 ;
T_31.51 ;
    %mov 9, 2, 1;
    %mov 9, 2, 1;
T_31.52 ;
T_31.52 ;
; Save base=9 wid=1 in lookaside.
; Save base=9 wid=1 in lookaside.
    %inv 9, 1;
    %inv 9, 1;
    %and 8, 9, 1;
    %and 8, 9, 1;
    %set/v v0x1308ee0_0, 8, 1;
    %set/v v0xa6fb30_0, 8, 1;
    %jmp T_31.22;
    %jmp T_31.22;
T_31.21 ;
T_31.21 ;
    %ix/load 1, 3, 0;
    %ix/load 1, 3, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_31.53, 4;
    %jmp/1 T_31.53, 4;
    %load/x1p 8, v0x1308d70_0, 1;
    %load/x1p 8, v0xa6f9c0_0, 1;
    %jmp T_31.54;
    %jmp T_31.54;
T_31.53 ;
T_31.53 ;
    %mov 8, 2, 1;
    %mov 8, 2, 1;
T_31.54 ;
T_31.54 ;
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %ix/load 1, 1, 0;
    %ix/load 1, 1, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_31.55, 4;
    %jmp/1 T_31.55, 4;
    %load/x1p 9, v0x1308d70_0, 1;
    %load/x1p 9, v0xa6f9c0_0, 1;
    %jmp T_31.56;
    %jmp T_31.56;
T_31.55 ;
T_31.55 ;
    %mov 9, 2, 1;
    %mov 9, 2, 1;
T_31.56 ;
T_31.56 ;
; Save base=9 wid=1 in lookaside.
; Save base=9 wid=1 in lookaside.
Line 6651... Line 6266...
    %inv 4, 1;
    %inv 4, 1;
    %mov 8, 4, 1;
    %mov 8, 4, 1;
    %ix/load 1, 2, 0;
    %ix/load 1, 2, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_31.57, 4;
    %jmp/1 T_31.57, 4;
    %load/x1p 9, v0x1308d70_0, 1;
    %load/x1p 9, v0xa6f9c0_0, 1;
    %jmp T_31.58;
    %jmp T_31.58;
T_31.57 ;
T_31.57 ;
    %mov 9, 2, 1;
    %mov 9, 2, 1;
T_31.58 ;
T_31.58 ;
; Save base=9 wid=1 in lookaside.
; Save base=9 wid=1 in lookaside.
    %or 8, 9, 1;
    %or 8, 9, 1;
    %set/v v0x1308ee0_0, 8, 1;
    %set/v v0xa6fb30_0, 8, 1;
    %jmp T_31.22;
    %jmp T_31.22;
T_31.22 ;
T_31.22 ;
T_31.4 ;
T_31.4 ;
    %jmp T_31;
    %jmp T_31;
    .thread T_31, $push;
    .thread T_31, $push;
    .scope S_0x12338f0;
    .scope S_0x86ef90;
T_32 ;
T_32 ;
    %wait E_0x1209ce0;
    %wait E_0x913ed0;
    %load/v 8, v0x130d150_0, 4;
    %load/v 8, v0xa73f00_0, 4;
    %cmpi/u 8, 15, 4;
    %cmpi/u 8, 15, 4;
    %inv 4, 1;
    %inv 4, 1;
    %jmp/0xz  T_32.0, 4;
    %jmp/0xz  T_32.0, 4;
    %load/v 8, v0x130d150_0, 4;
    %load/v 8, v0xa73f00_0, 4;
    %set/v v0x130a760_0, 8, 4;
    %set/v v0xa713b0_0, 8, 4;
    %jmp T_32.1;
    %jmp T_32.1;
T_32.0 ;
T_32.0 ;
    %load/v 8, v0x130b390_0, 4;
    %load/v 8, v0xa72160_0, 4;
    %set/v v0x130a760_0, 8, 4;
    %set/v v0xa713b0_0, 8, 4;
T_32.1 ;
T_32.1 ;
    %jmp T_32;
    %jmp T_32;
    .thread T_32, $push;
    .thread T_32, $push;
    .scope S_0x12338f0;
    .scope S_0x86ef90;
T_33 ;
T_33 ;
    %wait E_0x1265410;
    %wait E_0x96f470;
    %load/v 8, v0x130d150_0, 4;
    %load/v 8, v0xa73f00_0, 4;
    %cmpi/u 8, 15, 4;
    %cmpi/u 8, 15, 4;
    %inv 4, 1;
    %inv 4, 1;
    %jmp/0xz  T_33.0, 4;
    %jmp/0xz  T_33.0, 4;
    %load/v 8, v0x130d150_0, 4;
    %load/v 8, v0xa73f00_0, 4;
    %set/v v0x130aa80_0, 8, 4;
    %set/v v0xa716d0_0, 8, 4;
    %jmp T_33.1;
    %jmp T_33.1;
T_33.0 ;
T_33.0 ;
    %load/v 8, v0x130add0_0, 4;
    %load/v 8, v0xa70e60_0, 4;
    %set/v v0x130aa80_0, 8, 4;
    %set/v v0xa716d0_0, 8, 4;
T_33.1 ;
T_33.1 ;
    %jmp T_33;
    %jmp T_33;
    .thread T_33, $push;
    .thread T_33, $push;
    .scope S_0x12338f0;
    .scope S_0x86ef90;
T_34 ;
T_34 ;
    %wait E_0x126afb0;
    %wait E_0x99cdc0;
    %load/v 8, v0x1309d90_0, 16;
    %load/v 8, v0xa709e0_0, 16;
    %set/v v0x130a9b0_0, 8, 16;
    %set/v v0xa71600_0, 8, 16;
    %load/v 8, v0x130b530_0, 3;
    %load/v 8, v0xa72300_0, 3;
    %cmpi/u 8, 2, 3;
    %cmpi/u 8, 2, 3;
    %jmp/1 T_34.0, 6;
    %jmp/1 T_34.0, 6;
    %cmpi/u 8, 3, 3;
    %cmpi/u 8, 3, 3;
    %jmp/1 T_34.1, 6;
    %jmp/1 T_34.1, 6;
    %cmpi/u 8, 7, 3;
    %cmpi/u 8, 7, 3;
    %jmp/1 T_34.2, 6;
    %jmp/1 T_34.2, 6;
    %jmp T_34.3;
    %jmp T_34.3;
T_34.0 ;
T_34.0 ;
    %load/v 8, v0x130c630_0, 8;
    %load/v 8, v0xa73400_0, 8;
    %load/v 16, v0x130c550_0, 8;
    %load/v 16, v0xa73320_0, 8;
    %set/v v0x130a9b0_0, 8, 16;
    %set/v v0xa71600_0, 8, 16;
    %jmp T_34.3;
    %jmp T_34.3;
T_34.1 ;
T_34.1 ;
    %load/v 8, v0x130c630_0, 8;
    %load/v 8, v0xa73400_0, 8;
    %load/v 16, v0x130c550_0, 8;
    %load/v 16, v0xa73320_0, 8;
    %set/v v0x130a9b0_0, 8, 16;
    %set/v v0xa71600_0, 8, 16;
    %jmp T_34.3;
    %jmp T_34.3;
T_34.2 ;
T_34.2 ;
    %load/v 8, v0x130ae70_0, 1;
    %load/v 8, v0xa71db0_0, 1;
    %jmp/0xz  T_34.4, 8;
    %jmp/0xz  T_34.4, 8;
    %load/v 8, v0x130c630_0, 8;
    %load/v 8, v0xa73400_0, 8;
    %load/v 16, v0x130c550_0, 8;
    %load/v 16, v0xa73320_0, 8;
    %set/v v0x130a9b0_0, 8, 16;
    %set/v v0xa71600_0, 8, 16;
    %jmp T_34.5;
    %jmp T_34.5;
T_34.4 ;
T_34.4 ;
    %load/v 8, v0x130dee0_0, 16;
    %load/v 8, v0xa74c90_0, 16;
    %set/v v0x130a9b0_0, 8, 16;
    %set/v v0xa71600_0, 8, 16;
T_34.5 ;
T_34.5 ;
    %jmp T_34.3;
    %jmp T_34.3;
T_34.3 ;
T_34.3 ;
    %jmp T_34;
    %jmp T_34;
    .thread T_34, $push;
    .thread T_34, $push;
    .scope S_0x12338f0;
    .scope S_0x86ef90;
T_35 ;
T_35 ;
    %wait E_0x12b44b0;
    %wait E_0x9fcee0;
    %load/v 8, v0x130b390_0, 4;
    %load/v 8, v0xa72160_0, 4;
    %cmpi/u 8, 12, 4;
    %cmpi/u 8, 12, 4;
    %jmp/0xz  T_35.0, 4;
    %jmp/0xz  T_35.0, 4;
    %load/v 8, v0x130c630_0, 8;
    %load/v 8, v0xa73400_0, 8;
    %load/v 16, v0x130c550_0, 8;
    %load/v 16, v0xa73320_0, 8;
    %set/v v0x130a820_0, 8, 16;
    %set/v v0xa71470_0, 8, 16;
    %jmp T_35.1;
    %jmp T_35.1;
T_35.0 ;
T_35.0 ;
    %load/v 8, v0x130b530_0, 3;
    %load/v 8, v0xa72300_0, 3;
    %cmpi/u 8, 7, 3;
    %cmpi/u 8, 7, 3;
    %jmp/1 T_35.2, 6;
    %jmp/1 T_35.2, 6;
    %load/v 8, v0x130dfb0_0, 16;
    %load/v 8, v0xa74d60_0, 16;
    %set/v v0x130a820_0, 8, 16;
    %set/v v0xa71470_0, 8, 16;
    %jmp T_35.4;
    %jmp T_35.4;
T_35.2 ;
T_35.2 ;
    %load/v 8, v0x130ae70_0, 1;
    %load/v 8, v0xa71db0_0, 1;
    %jmp/0xz  T_35.5, 8;
    %jmp/0xz  T_35.5, 8;
    %load/v 8, v0x130c630_0, 8;
    %load/v 8, v0xa73400_0, 8;
    %load/v 16, v0x130c550_0, 8;
    %load/v 16, v0xa73320_0, 8;
    %set/v v0x130a820_0, 8, 16;
    %set/v v0xa71470_0, 8, 16;
    %jmp T_35.6;
    %jmp T_35.6;
T_35.5 ;
T_35.5 ;
    %load/v 8, v0x130dee0_0, 16;
    %load/v 8, v0xa74c90_0, 16;
    %set/v v0x130a820_0, 8, 16;
    %set/v v0xa71470_0, 8, 16;
T_35.6 ;
T_35.6 ;
    %jmp T_35.4;
    %jmp T_35.4;
T_35.4 ;
T_35.4 ;
T_35.1 ;
T_35.1 ;
    %jmp T_35;
    %jmp T_35;
    .thread T_35, $push;
    .thread T_35, $push;
    .scope S_0x12338f0;
    .scope S_0x86ef90;
T_36 ;
T_36 ;
    %wait E_0x12b4530;
    %wait E_0x9e1d90;
    %load/v 8, v0x130c630_0, 8;
    %load/v 8, v0xa73400_0, 8;
    %load/v 16, v0x130c550_0, 8;
    %load/v 16, v0xa73320_0, 8;
    %set/v v0x130c7d0_0, 8, 16;
    %set/v v0xa71c40_0, 8, 16;
    %load/v 8, v0x130b460_0, 3;
    %load/v 8, v0xa73240_0, 2;
 
    %cmpi/u 8, 0, 2;
 
    %inv 4, 1;
 
    %jmp/0xz  T_36.0, 4;
 
    %load/v 8, v0xa72230_0, 3;
    %cmpi/u 8, 7, 3;
    %cmpi/u 8, 7, 3;
    %jmp/1 T_36.0, 6;
    %jmp/1 T_36.2, 6;
    %cmpi/u 8, 6, 3;
    %cmpi/u 8, 6, 3;
    %jmp/1 T_36.1, 6;
    %jmp/1 T_36.3, 6;
    %cmpi/u 8, 5, 3;
    %cmpi/u 8, 5, 3;
    %jmp/1 T_36.2, 6;
    %jmp/1 T_36.4, 6;
    %cmpi/u 8, 3, 3;
    %cmpi/u 8, 3, 3;
    %jmp/1 T_36.3, 6;
    %jmp/1 T_36.5, 6;
    %cmpi/u 8, 4, 3;
    %cmpi/u 8, 4, 3;
    %jmp/1 T_36.4, 6;
    %jmp/1 T_36.6, 6;
    %jmp T_36.5;
    %jmp T_36.7;
T_36.0 ;
T_36.2 ;
    %load/v 8, v0x130e080_0, 16;
    %load/v 8, v0xa74e30_0, 16;
    %load/v 24, v0x130c630_0, 8;
    %load/v 24, v0xa73400_0, 8;
    %load/v 32, v0x130c550_0, 8;
    %load/v 32, v0xa73320_0, 8;
    %add 8, 24, 16;
    %add 8, 24, 16;
    %set/v v0x130c7d0_0, 8, 16;
    %set/v v0xa71c40_0, 8, 16;
    %jmp T_36.5;
    %jmp T_36.7;
T_36.1 ;
T_36.3 ;
    %load/v 8, v0x130e080_0, 16;
    %load/v 8, v0xa74e30_0, 16;
    %load/v 24, v0x130c630_0, 8;
    %load/v 24, v0xa73400_0, 8;
    %ix/load 1, 7, 0;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_36.6, 4;
    %jmp/1 T_36.8, 4;
    %load/x1p 48, v0x130c630_0, 1;
    %load/x1p 48, v0xa73400_0, 1;
    %jmp T_36.7;
    %jmp T_36.9;
T_36.6 ;
T_36.8 ;
    %mov 48, 2, 1;
    %mov 48, 2, 1;
T_36.7 ;
T_36.9 ;
    %mov 40, 48, 1; Move signal select into place
    %mov 40, 48, 1; Move signal select into place
    %mov 47, 40, 1; Repetition 8
    %mov 47, 40, 1; Repetition 8
    %mov 46, 40, 1; Repetition 7
    %mov 46, 40, 1; Repetition 7
    %mov 45, 40, 1; Repetition 6
    %mov 45, 40, 1; Repetition 6
    %mov 44, 40, 1; Repetition 5
    %mov 44, 40, 1; Repetition 5
    %mov 43, 40, 1; Repetition 4
    %mov 43, 40, 1; Repetition 4
    %mov 42, 40, 1; Repetition 3
    %mov 42, 40, 1; Repetition 3
    %mov 41, 40, 1; Repetition 2
    %mov 41, 40, 1; Repetition 2
    %mov 32, 40, 8;
    %mov 32, 40, 8;
    %add 8, 24, 16;
    %add 8, 24, 16;
    %set/v v0x130c7d0_0, 8, 16;
    %set/v v0xa71c40_0, 8, 16;
    %jmp T_36.5;
    %jmp T_36.7;
T_36.2 ;
 
    %load/v 8, v0x130bf50_0, 8;
 
    %load/v 16, v0x130beb0_0, 8;
 
    %set/v v0x130c7d0_0, 8, 16;
 
    %jmp T_36.5;
 
T_36.3 ;
 
    %load/v 8, v0x130bf50_0, 8;
 
    %load/v 16, v0x130ddf0_0, 8;
 
    %set/v v0x130c7d0_0, 8, 16;
 
    %jmp T_36.5;
 
T_36.4 ;
T_36.4 ;
    %load/v 8, v0x130ae70_0, 1;
    %load/v 8, v0xa72d20_0, 8;
    %jmp/0xz  T_36.8, 8;
    %load/v 16, v0xa72c80_0, 8;
    %load/v 8, v0x130c630_0, 8;
    %set/v v0xa71c40_0, 8, 16;
    %load/v 16, v0x130c550_0, 8;
    %jmp T_36.7;
    %set/v v0x130c7d0_0, 8, 16;
 
    %jmp T_36.9;
 
T_36.8 ;
 
    %load/v 8, v0x130dee0_0, 16;
 
    %set/v v0x130c7d0_0, 8, 16;
 
T_36.9 ;
 
    %jmp T_36.5;
 
T_36.5 ;
T_36.5 ;
 
    %load/v 8, v0xa72d20_0, 8;
 
    %load/v 16, v0xa74ba0_0, 8;
 
    %set/v v0xa71c40_0, 8, 16;
 
    %jmp T_36.7;
 
T_36.6 ;
 
    %load/v 8, v0xa71db0_0, 1;
 
    %jmp/0xz  T_36.10, 8;
 
    %load/v 8, v0xa73400_0, 8;
 
    %load/v 16, v0xa73320_0, 8;
 
    %set/v v0xa71c40_0, 8, 16;
 
    %jmp T_36.11;
 
T_36.10 ;
 
    %load/v 8, v0xa74c90_0, 16;
 
    %set/v v0xa71c40_0, 8, 16;
 
T_36.11 ;
 
    %jmp T_36.7;
 
T_36.7 ;
 
T_36.0 ;
    %jmp T_36;
    %jmp T_36;
    .thread T_36, $push;
    .thread T_36, $push;
    .scope S_0x12338f0;
    .scope S_0x86ef90;
T_37 ;
T_37 ;
    %wait E_0x12e23b0;
    %wait E_0xa15bb0;
    %load/v 8, v0x130b600_0, 4;
    %load/v 8, v0xa723d0_0, 4;
    %cmpi/u 8, 12, 4;
    %cmpi/u 8, 12, 4;
    %jmp/1 T_37.0, 6;
    %jmp/1 T_37.0, 6;
    %cmpi/u 8, 6, 4;
    %cmpi/u 8, 6, 4;
    %jmp/1 T_37.1, 6;
    %jmp/1 T_37.1, 6;
    %cmpi/u 8, 13, 4;
    %cmpi/u 8, 13, 4;
    %jmp/1 T_37.2, 6;
    %jmp/1 T_37.2, 6;
    %cmpi/u 8, 7, 4;
    %cmpi/u 8, 7, 4;
    %jmp/1 T_37.3, 6;
    %jmp/1 T_37.3, 6;
    %load/v 8, v0x130b6f0_0, 2;
    %load/v 8, v0xa724c0_0, 2;
    %cmpi/u 8, 0, 2;
    %cmpi/u 8, 0, 2;
    %jmp/1 T_37.6, 6;
    %jmp/1 T_37.6, 6;
    %cmpi/u 8, 1, 2;
    %cmpi/u 8, 1, 2;
    %jmp/1 T_37.7, 6;
    %jmp/1 T_37.7, 6;
    %cmpi/u 8, 2, 2;
    %cmpi/u 8, 2, 2;
    %jmp/1 T_37.8, 6;
    %jmp/1 T_37.8, 6;
    %cmpi/u 8, 3, 2;
    %cmpi/u 8, 3, 2;
    %jmp/1 T_37.9, 6;
    %jmp/1 T_37.9, 6;
    %jmp T_37.10;
    %jmp T_37.10;
T_37.6 ;
T_37.6 ;
    %load/v 8, v0x130e150_0, 16;
    %load/v 8, v0xa74f00_0, 16;
    %set/v v0x130a8e0_0, 8, 16;
    %set/v v0xa71530_0, 8, 16;
    %jmp T_37.10;
    %jmp T_37.10;
T_37.7 ;
T_37.7 ;
    %movi 8, 1, 16;
    %movi 8, 1, 16;
    %set/v v0x130a8e0_0, 8, 16;
    %set/v v0xa71530_0, 8, 16;
    %jmp T_37.10;
    %jmp T_37.10;
T_37.8 ;
T_37.8 ;
    %set/v v0x130a8e0_0, 0, 16;
    %set/v v0xa71530_0, 0, 16;
    %jmp T_37.10;
    %jmp T_37.10;
T_37.9 ;
T_37.9 ;
    %set/v v0x130a8e0_0, 1, 16;
    %set/v v0xa71530_0, 1, 16;
    %jmp T_37.10;
    %jmp T_37.10;
T_37.10 ;
T_37.10 ;
    %jmp T_37.5;
    %jmp T_37.5;
T_37.0 ;
T_37.0 ;
    %load/v 8, v0x130c630_0, 8;
    %load/v 8, v0xa73400_0, 8;
    %mov 16, 0, 8;
    %mov 16, 0, 8;
    %set/v v0x130a8e0_0, 8, 16;
    %set/v v0xa71530_0, 8, 16;
    %jmp T_37.5;
    %jmp T_37.5;
T_37.1 ;
T_37.1 ;
    %load/v 8, v0x130c630_0, 8;
    %load/v 8, v0xa73400_0, 8;
    %load/v 16, v0x130c550_0, 8;
    %load/v 16, v0xa73320_0, 8;
    %set/v v0x130a8e0_0, 8, 16;
    %set/v v0xa71530_0, 8, 16;
    %jmp T_37.5;
    %jmp T_37.5;
T_37.2 ;
T_37.2 ;
    %load/v 8, v0x130c630_0, 8;
    %load/v 8, v0xa73400_0, 8;
    %mov 16, 0, 8;
    %mov 16, 0, 8;
    %set/v v0x130a8e0_0, 8, 16;
    %set/v v0xa71530_0, 8, 16;
    %jmp T_37.5;
    %jmp T_37.5;
T_37.3 ;
T_37.3 ;
    %load/v 8, v0x130c630_0, 8;
    %load/v 8, v0xa73400_0, 8;
    %load/v 16, v0x130c550_0, 8;
    %load/v 16, v0xa73320_0, 8;
    %set/v v0x130a8e0_0, 8, 16;
    %set/v v0xa71530_0, 8, 16;
    %jmp T_37.5;
    %jmp T_37.5;
T_37.5 ;
T_37.5 ;
    %jmp T_37;
    %jmp T_37;
    .thread T_37, $push;
    .thread T_37, $push;
    .scope S_0x12338f0;
    .scope S_0x86ef90;
T_38 ;
T_38 ;
    %wait E_0x12e2a50;
    %wait E_0xa15c30;
    %load/v 8, v0x130d5b0_0, 1;
    %load/v 8, v0xa74360_0, 1;
    %jmp/0xz  T_38.0, 8;
    %jmp/0xz  T_38.0, 8;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 0;
    %assign/v0 v0xa750a0_0, 0, 0;
    %ix/load 0, 3, 0;
    %ix/load 0, 3, 0;
    %assign/v0 v0x130d4d0_0, 0, 0;
    %assign/v0 v0xa74280_0, 0, 0;
    %ix/load 0, 3, 0;
    %ix/load 0, 3, 0;
    %assign/v0 v0x130d310_0, 0, 0;
    %assign/v0 v0xa740c0_0, 0, 0;
    %ix/load 0, 3, 0;
    %ix/load 0, 3, 0;
    %assign/v0 v0x130d3f0_0, 0, 0;
    %assign/v0 v0xa741a0_0, 0, 0;
    %jmp T_38.1;
    %jmp T_38.1;
T_38.0 ;
T_38.0 ;
    %ix/load 1, 2, 0;
    %ix/load 1, 2, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_38.2, 4;
    %jmp/1 T_38.2, 4;
    %load/x1p 8, v0x130d4d0_0, 1;
    %load/x1p 8, v0xa74280_0, 1;
    %jmp T_38.3;
    %jmp T_38.3;
T_38.2 ;
T_38.2 ;
    %mov 8, 2, 1;
    %mov 8, 2, 1;
T_38.3 ;
T_38.3 ;
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %inv 8, 1;
    %inv 8, 1;
    %jmp/0xz  T_38.4, 8;
    %jmp/0xz  T_38.4, 8;
    %load/v 8, v0x130a3c0_0, 1;
    %load/v 8, v0xa71010_0, 1;
    %load/v 9, v0x130d4d0_0, 2; Select 2 out of 3 bits
    %load/v 9, v0xa74280_0, 2; Select 2 out of 3 bits
    %ix/load 0, 3, 0;
    %ix/load 0, 3, 0;
    %assign/v0 v0x130d4d0_0, 0, 8;
    %assign/v0 v0xa74280_0, 0, 8;
T_38.4 ;
T_38.4 ;
    %ix/load 1, 2, 0;
    %ix/load 1, 2, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_38.6, 4;
    %jmp/1 T_38.6, 4;
    %load/x1p 8, v0x130d3f0_0, 1;
    %load/x1p 8, v0xa741a0_0, 1;
    %jmp T_38.7;
    %jmp T_38.7;
T_38.6 ;
T_38.6 ;
    %mov 8, 2, 1;
    %mov 8, 2, 1;
T_38.7 ;
T_38.7 ;
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %inv 8, 1;
    %inv 8, 1;
    %jmp/0xz  T_38.8, 8;
    %jmp/0xz  T_38.8, 8;
    %load/v 8, v0x130a320_0, 1;
    %load/v 8, v0xa70f70_0, 1;
    %load/v 9, v0x130d3f0_0, 2; Select 2 out of 3 bits
    %load/v 9, v0xa741a0_0, 2; Select 2 out of 3 bits
    %ix/load 0, 3, 0;
    %ix/load 0, 3, 0;
    %assign/v0 v0x130d3f0_0, 0, 8;
    %assign/v0 v0xa741a0_0, 0, 8;
T_38.8 ;
T_38.8 ;
    %ix/load 1, 2, 0;
    %ix/load 1, 2, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_38.10, 4;
    %jmp/1 T_38.10, 4;
    %load/x1p 8, v0x130d310_0, 1;
    %load/x1p 8, v0xa740c0_0, 1;
    %jmp T_38.11;
    %jmp T_38.11;
T_38.10 ;
T_38.10 ;
    %mov 8, 2, 1;
    %mov 8, 2, 1;
T_38.11 ;
T_38.11 ;
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %inv 8, 1;
    %inv 8, 1;
    %jmp/0xz  T_38.12, 8;
    %jmp/0xz  T_38.12, 8;
    %load/v 8, v0x130a170_0, 1;
    %load/v 8, v0xa70dc0_0, 1;
    %load/v 9, v0x130d310_0, 2; Select 2 out of 3 bits
    %load/v 9, v0xa740c0_0, 2; Select 2 out of 3 bits
    %ix/load 0, 3, 0;
    %ix/load 0, 3, 0;
    %assign/v0 v0x130d310_0, 0, 8;
    %assign/v0 v0xa740c0_0, 0, 8;
T_38.12 ;
T_38.12 ;
    %load/v 8, v0x130c190_0, 1;
    %load/v 8, v0xa72f60_0, 1;
    %jmp/0xz  T_38.14, 8;
    %jmp/0xz  T_38.14, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x130c190_0, 0, 0;
    %assign/v0 v0xa72f60_0, 0, 0;
T_38.14 ;
T_38.14 ;
    %load/v 8, v0x130d8e0_0, 1;
    %load/v 8, v0xa74690_0, 1;
    %jmp/0xz  T_38.16, 8;
    %jmp/0xz  T_38.16, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x130d8e0_0, 0, 0;
    %assign/v0 v0xa74690_0, 0, 0;
T_38.16 ;
T_38.16 ;
    %load/v 8, v0x130bd40_0, 1;
    %load/v 8, v0xa72b10_0, 1;
    %jmp/0xz  T_38.18, 8;
    %jmp/0xz  T_38.18, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x130bd40_0, 0, 0;
    %assign/v0 v0xa72b10_0, 0, 0;
T_38.18 ;
T_38.18 ;
    %load/v 8, v0x130bca0_0, 1;
    %load/v 8, v0xa72a70_0, 1;
    %jmp/0xz  T_38.20, 8;
    %jmp/0xz  T_38.20, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x130bca0_0, 0, 0;
    %assign/v0 v0xa72a70_0, 0, 0;
T_38.20 ;
T_38.20 ;
    %load/v 8, v0x130d9b0_0, 1;
    %load/v 8, v0xa74760_0, 1;
    %jmp/0xz  T_38.22, 8;
    %jmp/0xz  T_38.22, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x130d9b0_0, 0, 0;
    %assign/v0 v0xa74760_0, 0, 0;
T_38.22 ;
T_38.22 ;
    %load/v 8, v0x130bde0_0, 1;
    %load/v 8, v0xa72bb0_0, 1;
    %jmp/0xz  T_38.24, 8;
    %jmp/0xz  T_38.24, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x130bde0_0, 0, 0;
    %assign/v0 v0xa72bb0_0, 0, 0;
T_38.24 ;
T_38.24 ;
    %load/v 8, v0x130c260_0, 1;
    %load/v 8, v0xa73030_0, 1;
    %jmp/0xz  T_38.26, 8;
    %jmp/0xz  T_38.26, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x130c260_0, 0, 0;
    %assign/v0 v0xa73030_0, 0, 0;
T_38.26 ;
T_38.26 ;
    %load/v 8, v0x130d670_0, 1;
    %load/v 8, v0xa74420_0, 1;
    %jmp/0xz  T_38.28, 8;
    %jmp/0xz  T_38.28, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x130d670_0, 0, 0;
    %assign/v0 v0xa74420_0, 0, 0;
T_38.28 ;
T_38.28 ;
    %load/v 8, v0x130ba90_0, 1;
    %load/v 8, v0xa72860_0, 1;
    %jmp/0xz  T_38.30, 8;
    %jmp/0xz  T_38.30, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x130ba90_0, 0, 0;
    %assign/v0 v0xa72860_0, 0, 0;
T_38.30 ;
T_38.30 ;
    %load/v 8, v0x130d740_0, 1;
    %load/v 8, v0xa744f0_0, 1;
    %jmp/0xz  T_38.32, 8;
    %jmp/0xz  T_38.32, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x130d740_0, 0, 0;
    %assign/v0 v0xa744f0_0, 0, 0;
T_38.32 ;
T_38.32 ;
    %load/v 8, v0x130d810_0, 1;
    %load/v 8, v0xa745c0_0, 1;
    %jmp/0xz  T_38.34, 8;
    %jmp/0xz  T_38.34, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x130d810_0, 0, 0;
    %assign/v0 v0xa745c0_0, 0, 0;
T_38.34 ;
T_38.34 ;
    %load/v 8, v0x130da80_0, 1;
    %load/v 8, v0xa74830_0, 1;
    %jmp/0xz  T_38.36, 8;
    %jmp/0xz  T_38.36, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x130da80_0, 0, 0;
    %assign/v0 v0xa74830_0, 0, 0;
T_38.36 ;
T_38.36 ;
    %load/v 8, v0x130e2f0_0, 6;
    %load/v 8, v0xa750a0_0, 6;
    %pad 14, 0, 3;
    %pad 14, 0, 3;
    %cmpi/u 8, 0, 9;
    %cmpi/u 8, 0, 9;
    %jmp/1 T_38.38, 6;
    %jmp/1 T_38.38, 6;
    %cmpi/u 8, 1, 9;
    %cmpi/u 8, 1, 9;
    %jmp/1 T_38.39, 6;
    %jmp/1 T_38.39, 6;
Line 7133... Line 6753...
    %cmpi/u 8, 63, 9;
    %cmpi/u 8, 63, 9;
    %jmp/1 T_38.89, 6;
    %jmp/1 T_38.89, 6;
    %jmp T_38.90;
    %jmp T_38.90;
T_38.38 ;
T_38.38 ;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x130c0d0_0, 0, 1;
    %assign/v0 v0xa72ea0_0, 0, 1;
    %movi 8, 54, 6;
    %movi 8, 54, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v0x130beb0_0, 0, 1;
    %assign/v0 v0xa72c80_0, 0, 1;
    %movi 8, 254, 8;
    %movi 8, 254, 8;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v0x130bf50_0, 0, 8;
    %assign/v0 v0xa72d20_0, 0, 8;
    %movi 8, 8, 6;
    %movi 8, 8, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130db50_0, 0, 8;
    %assign/v0 v0xa74900_0, 0, 8;
 
    %ix/load 0, 2, 0;
 
    %assign/v0 v0xa73240_0, 0, 0;
    %jmp T_38.90;
    %jmp T_38.90;
T_38.39 ;
T_38.39 ;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x130c0d0_0, 0, 1;
    %assign/v0 v0xa72ea0_0, 0, 1;
    %ix/load 0, 3, 0;
    %ix/load 0, 3, 0;
    %assign/v0 v0x130d4d0_0, 0, 0;
    %assign/v0 v0xa74280_0, 0, 0;
    %movi 8, 65532, 16;
    %movi 8, 65532, 16;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v0x130bf50_0, 0, 8;
    %assign/v0 v0xa72d20_0, 0, 8;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v0x130beb0_0, 0, 16;
    %assign/v0 v0xa72c80_0, 0, 16;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v0x130d230_0, 0, 1;
    %assign/v0 v0xa73fe0_0, 0, 1;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x130d670_0, 0, 1;
    %assign/v0 v0xa74420_0, 0, 1;
    %movi 8, 32, 6;
    %movi 8, 32, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %movi 8, 54, 6;
    %movi 8, 54, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130dbf0_0, 0, 8;
    %assign/v0 v0xa749a0_0, 0, 8;
    %movi 8, 9, 6;
    %movi 8, 9, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130db50_0, 0, 8;
    %assign/v0 v0xa74900_0, 0, 8;
    %jmp T_38.90;
    %jmp T_38.90;
T_38.40 ;
T_38.40 ;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x130c0d0_0, 0, 1;
    %assign/v0 v0xa72ea0_0, 0, 1;
    %movi 8, 54, 6;
    %movi 8, 54, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %movi 8, 65530, 16;
    %movi 8, 65530, 16;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v0x130bf50_0, 0, 8;
    %assign/v0 v0xa72d20_0, 0, 8;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v0x130beb0_0, 0, 16;
    %assign/v0 v0xa72c80_0, 0, 16;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v0x130d230_0, 0, 1;
    %assign/v0 v0xa73fe0_0, 0, 1;
    %movi 8, 32, 6;
    %movi 8, 32, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %movi 8, 54, 6;
    %movi 8, 54, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130dbf0_0, 0, 8;
    %assign/v0 v0xa749a0_0, 0, 8;
    %movi 8, 9, 6;
    %movi 8, 9, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130db50_0, 0, 8;
    %assign/v0 v0xa74900_0, 0, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x130d670_0, 0, 1;
    %assign/v0 v0xa74420_0, 0, 1;
    %jmp T_38.90;
    %jmp T_38.90;
T_38.41 ;
T_38.41 ;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x130c0d0_0, 0, 1;
    %assign/v0 v0xa72ea0_0, 0, 1;
    %ix/load 0, 3, 0;
    %ix/load 0, 3, 0;
    %assign/v0 v0x130d3f0_0, 0, 0;
    %assign/v0 v0xa741a0_0, 0, 0;
    %movi 8, 54, 6;
    %movi 8, 54, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %movi 8, 65528, 16;
    %movi 8, 65528, 16;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v0x130bf50_0, 0, 8;
    %assign/v0 v0xa72d20_0, 0, 8;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v0x130beb0_0, 0, 16;
    %assign/v0 v0xa72c80_0, 0, 16;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v0x130d230_0, 0, 1;
    %assign/v0 v0xa73fe0_0, 0, 1;
    %movi 8, 32, 6;
    %movi 8, 32, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130db50_0, 0, 8;
    %assign/v0 v0xa74900_0, 0, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x130d670_0, 0, 1;
    %assign/v0 v0xa74420_0, 0, 1;
    %movi 8, 32, 6;
    %movi 8, 32, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %movi 8, 54, 6;
    %movi 8, 54, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130dbf0_0, 0, 8;
    %assign/v0 v0xa749a0_0, 0, 8;
    %movi 8, 9, 6;
    %movi 8, 9, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130db50_0, 0, 8;
    %assign/v0 v0xa74900_0, 0, 8;
    %jmp T_38.90;
    %jmp T_38.90;
T_38.42 ;
T_38.42 ;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x130c0d0_0, 0, 1;
    %assign/v0 v0xa72ea0_0, 0, 1;
    %ix/load 0, 3, 0;
    %ix/load 0, 3, 0;
    %assign/v0 v0x130d310_0, 0, 0;
    %assign/v0 v0xa740c0_0, 0, 0;
    %movi 8, 65526, 16;
    %movi 8, 65526, 16;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v0x130bf50_0, 0, 8;
    %assign/v0 v0xa72d20_0, 0, 8;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v0x130beb0_0, 0, 16;
    %assign/v0 v0xa72c80_0, 0, 16;
    %movi 8, 129, 8;
    %movi 8, 129, 8;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v0x130d230_0, 0, 8;
    %assign/v0 v0xa73fe0_0, 0, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x130ba90_0, 0, 1;
    %assign/v0 v0xa72860_0, 0, 1;
    %movi 8, 32, 6;
    %movi 8, 32, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %movi 8, 54, 6;
    %movi 8, 54, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130dbf0_0, 0, 8;
    %assign/v0 v0xa749a0_0, 0, 8;
    %movi 8, 9, 6;
    %movi 8, 9, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130db50_0, 0, 8;
    %assign/v0 v0xa74900_0, 0, 8;
    %jmp T_38.90;
    %jmp T_38.90;
T_38.43 ;
T_38.43 ;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x130c0d0_0, 0, 1;
    %assign/v0 v0xa72ea0_0, 0, 1;
    %movi 8, 65524, 16;
    %movi 8, 65524, 16;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v0x130bf50_0, 0, 8;
    %assign/v0 v0xa72d20_0, 0, 8;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v0x130beb0_0, 0, 16;
    %assign/v0 v0xa72c80_0, 0, 16;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v0x130d230_0, 0, 1;
    %assign/v0 v0xa73fe0_0, 0, 1;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x130d670_0, 0, 1;
    %assign/v0 v0xa74420_0, 0, 1;
    %movi 8, 32, 6;
    %movi 8, 32, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %movi 8, 54, 6;
    %movi 8, 54, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130dbf0_0, 0, 8;
    %assign/v0 v0xa749a0_0, 0, 8;
    %movi 8, 9, 6;
    %movi 8, 9, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130db50_0, 0, 8;
    %assign/v0 v0xa74900_0, 0, 8;
    %jmp T_38.90;
    %jmp T_38.90;
T_38.44 ;
T_38.44 ;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x130c0d0_0, 0, 1;
    %assign/v0 v0xa72ea0_0, 0, 1;
    %movi 8, 65522, 16;
    %movi 8, 65522, 16;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v0x130bf50_0, 0, 8;
    %assign/v0 v0xa72d20_0, 0, 8;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v0x130beb0_0, 0, 16;
    %assign/v0 v0xa72c80_0, 0, 16;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v0x130d230_0, 0, 1;
    %assign/v0 v0xa73fe0_0, 0, 1;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x130d670_0, 0, 1;
    %assign/v0 v0xa74420_0, 0, 1;
    %movi 8, 32, 6;
    %movi 8, 32, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %movi 8, 54, 6;
    %movi 8, 54, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130dbf0_0, 0, 8;
    %assign/v0 v0xa749a0_0, 0, 8;
    %movi 8, 9, 6;
    %movi 8, 9, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130db50_0, 0, 8;
    %assign/v0 v0xa74900_0, 0, 8;
    %jmp T_38.90;
    %jmp T_38.90;
T_38.45 ;
T_38.45 ;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x130c0d0_0, 0, 1;
    %assign/v0 v0xa72ea0_0, 0, 1;
    %movi 8, 65520, 16;
    %movi 8, 65520, 16;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v0x130bf50_0, 0, 8;
    %assign/v0 v0xa72d20_0, 0, 8;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v0x130beb0_0, 0, 16;
    %assign/v0 v0xa72c80_0, 0, 16;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v0x130d230_0, 0, 1;
    %assign/v0 v0xa73fe0_0, 0, 1;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x130d670_0, 0, 1;
    %assign/v0 v0xa74420_0, 0, 1;
    %movi 8, 32, 6;
    %movi 8, 32, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %movi 8, 54, 6;
    %movi 8, 54, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130dbf0_0, 0, 8;
    %assign/v0 v0xa749a0_0, 0, 8;
    %movi 8, 9, 6;
    %movi 8, 9, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130db50_0, 0, 8;
    %assign/v0 v0xa74900_0, 0, 8;
    %jmp T_38.90;
    %jmp T_38.90;
T_38.46 ;
T_38.46 ;
    %vpi_call 3 419 "$display", "cpu_data_i %02x %t", v0x1309fb0_0, $time {0 0};
    %vpi_call 3 451 "$display", "cpu_data_i %02x %t", v0xa70c00_0, $time {0 0};
    %movi 8, 9, 6;
    %movi 8, 9, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
 
    %movi 8, 1, 2;
 
    %ix/load 0, 2, 0;
 
    %assign/v0 v0xa73240_0, 0, 8;
    %jmp T_38.90;
    %jmp T_38.90;
T_38.47 ;
T_38.47 ;
    %load/v 8, v0x130af10_0, 1;
    %load/v 8, v0xa738b0_0, 1;
    %jmp/0xz  T_38.91, 8;
    %jmp/0xz  T_38.91, 8;
    %movi 8, 1, 6;
    %movi 8, 1, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %jmp T_38.92;
    %jmp T_38.92;
T_38.91 ;
T_38.91 ;
    %load/v 8, v0x130c010_0, 1;
    %load/v 8, v0xa72de0_0, 1;
    %ix/load 1, 6, 0;
    %ix/load 1, 6, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_38.93, 4;
    %jmp/1 T_38.93, 4;
    %load/x1p 9, v0x130dd30_0, 1;
    %load/x1p 9, v0xa74ae0_0, 1;
    %jmp T_38.94;
    %jmp T_38.94;
T_38.93 ;
T_38.93 ;
    %mov 9, 2, 1;
    %mov 9, 2, 1;
T_38.94 ;
T_38.94 ;
; Save base=9 wid=1 in lookaside.
; Save base=9 wid=1 in lookaside.
    %and 8, 9, 1;
    %and 8, 9, 1;
    %jmp/0xz  T_38.95, 8;
    %jmp/0xz  T_38.95, 8;
    %movi 8, 4, 6;
    %movi 8, 4, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %jmp T_38.96;
    %jmp T_38.96;
T_38.95 ;
T_38.95 ;
    %load/v 8, v0x130c3d0_0, 1;
    %load/v 8, v0xa731a0_0, 1;
    %ix/load 1, 5, 0;
    %ix/load 1, 5, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_38.97, 4;
    %jmp/1 T_38.97, 4;
    %load/x1p 9, v0x130dd30_0, 1;
    %load/x1p 9, v0xa74ae0_0, 1;
    %jmp T_38.98;
    %jmp T_38.98;
T_38.97 ;
T_38.97 ;
    %mov 9, 2, 1;
    %mov 9, 2, 1;
T_38.98 ;
T_38.98 ;
; Save base=9 wid=1 in lookaside.
; Save base=9 wid=1 in lookaside.
    %and 8, 9, 1;
    %and 8, 9, 1;
    %jmp/0xz  T_38.99, 8;
    %jmp/0xz  T_38.99, 8;
    %movi 8, 3, 6;
    %movi 8, 3, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %jmp T_38.100;
    %jmp T_38.100;
T_38.99 ;
T_38.99 ;
    %movi 8, 10, 6;
    %movi 8, 10, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %load/v 8, v0x130e080_0, 16;
    %load/v 8, v0xa74e30_0, 16;
    %ix/load 0, 16, 0;
    %ix/load 0, 16, 0;
    %assign/v0 v0x130bb60_0, 0, 8;
    %assign/v0 v0xa72930_0, 0, 8;
T_38.100 ;
T_38.100 ;
T_38.96 ;
T_38.96 ;
T_38.92 ;
T_38.92 ;
    %jmp T_38.90;
    %jmp T_38.90;
T_38.48 ;
T_38.48 ;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x130bca0_0, 0, 1;
    %assign/v0 v0xa72a70_0, 0, 1;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x130c190_0, 0, 1;
    %assign/v0 v0xa72f60_0, 0, 1;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x130ce50_0, 0, 0;
    %assign/v0 v0xa73be0_0, 0, 0;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x130cf80_0, 0, 0;
    %assign/v0 v0xa73d10_0, 0, 0;
    %ix/load 0, 4, 0;
    %ix/load 0, 4, 0;
    %assign/v0 v0x130d150_0, 0, 1;
    %assign/v0 v0xa73f00_0, 0, 1;
    %movi 8, 11, 6;
    %movi 8, 11, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %jmp T_38.90;
    %jmp T_38.90;
T_38.49 ;
T_38.49 ;
    %load/v 8, v0x1309fb0_0, 8;
    %load/v 8, v0xa70c00_0, 8;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v0x130cd20_0, 0, 8;
    %assign/v0 v0xa73a90_0, 0, 8;
    %load/v 8, v0x1309fb0_0, 8;
    %load/v 8, v0xa70c00_0, 8;
    %cmpi/u 8, 16, 8;
    %cmpi/u 8, 16, 8;
    %jmp/1 T_38.101, 6;
    %jmp/1 T_38.101, 6;
    %cmpi/u 8, 17, 8;
    %cmpi/u 8, 17, 8;
    %jmp/1 T_38.102, 6;
    %jmp/1 T_38.102, 6;
    %cmpi/u 8, 30, 8;
    %cmpi/u 8, 30, 8;
    %jmp/1 T_38.103, 6;
    %jmp/1 T_38.103, 6;
    %cmpi/u 8, 31, 8;
    %cmpi/u 8, 31, 8;
    %jmp/1 T_38.104, 6;
    %jmp/1 T_38.104, 6;
    %movi 8, 15, 6;
    %movi 8, 15, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %jmp T_38.106;
    %jmp T_38.106;
T_38.101 ;
T_38.101 ;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x130ce50_0, 0, 1;
    %assign/v0 v0xa73be0_0, 0, 1;
    %movi 8, 12, 6;
    %movi 8, 12, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %jmp T_38.106;
    %jmp T_38.106;
T_38.102 ;
T_38.102 ;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x130cf80_0, 0, 1;
    %assign/v0 v0xa73d10_0, 0, 1;
    %movi 8, 12, 6;
    %movi 8, 12, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %jmp T_38.106;
    %jmp T_38.106;
T_38.103 ;
T_38.103 ;
    %movi 8, 12, 6;
    %movi 8, 12, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %jmp T_38.106;
    %jmp T_38.106;
T_38.104 ;
T_38.104 ;
    %movi 8, 12, 6;
    %movi 8, 12, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %jmp T_38.106;
    %jmp T_38.106;
T_38.106 ;
T_38.106 ;
    %jmp T_38.90;
    %jmp T_38.90;
T_38.50 ;
T_38.50 ;
    %movi 8, 13, 6;
    %movi 8, 13, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %load/v 8, v0x130e080_0, 16;
    %load/v 8, v0xa74e30_0, 16;
    %ix/load 0, 16, 0;
    %ix/load 0, 16, 0;
    %assign/v0 v0x130bb60_0, 0, 8;
    %assign/v0 v0xa72930_0, 0, 8;
    %jmp T_38.90;
    %jmp T_38.90;
T_38.51 ;
T_38.51 ;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x130bca0_0, 0, 1;
    %assign/v0 v0xa72a70_0, 0, 1;
    %movi 8, 14, 6;
    %movi 8, 14, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %jmp T_38.90;
    %jmp T_38.90;
T_38.52 ;
T_38.52 ;
    %load/v 8, v0x1309fb0_0, 8;
    %load/v 8, v0xa70c00_0, 8;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v0x130d020_0, 0, 8;
    %assign/v0 v0xa73db0_0, 0, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x130c190_0, 0, 1;
    %assign/v0 v0xa72f60_0, 0, 1;
    %movi 8, 16, 6;
    %movi 8, 16, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %jmp T_38.90;
    %jmp T_38.90;
T_38.53 ;
T_38.53 ;
    %load/v 8, v0x130b460_0, 3;
    %load/v 8, v0xa72230_0, 3;
    %cmpi/u 8, 0, 3;
    %cmpi/u 8, 0, 3;
    %jmp/1 T_38.107, 6;
    %jmp/1 T_38.107, 6;
    %cmpi/u 8, 1, 3;
    %cmpi/u 8, 1, 3;
    %jmp/1 T_38.108, 6;
    %jmp/1 T_38.108, 6;
    %cmpi/u 8, 2, 3;
    %cmpi/u 8, 2, 3;
Line 7477... Line 7102...
    %jmp/1 T_38.113, 6;
    %jmp/1 T_38.113, 6;
    %cmpi/u 8, 7, 3;
    %cmpi/u 8, 7, 3;
    %jmp/1 T_38.114, 6;
    %jmp/1 T_38.114, 6;
    %jmp T_38.115;
    %jmp T_38.115;
T_38.107 ;
T_38.107 ;
    %load/v 8, v0x130cd20_0, 8;
    %load/v 8, v0xa73a90_0, 8;
    %movi 16, 19, 8;
    %movi 16, 19, 8;
    %cmp/x 8, 16, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_38.116, 4;
    %jmp/1 T_38.116, 4;
    %movi 16, 57, 8;
    %movi 16, 57, 8;
    %cmp/x 8, 16, 8;
    %cmp/x 8, 16, 8;
Line 7499... Line 7124...
    %movi 32, 59, 8;
    %movi 32, 59, 8;
    %cmp/x 8, 32, 8;
    %cmp/x 8, 32, 8;
    %jmp/1 T_38.120, 4;
    %jmp/1 T_38.120, 4;
    %movi 8, 9, 6;
    %movi 8, 9, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %jmp T_38.122;
    %jmp T_38.122;
T_38.116 ;
T_38.116 ;
    %movi 8, 38, 6;
    %movi 8, 38, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %jmp T_38.122;
    %jmp T_38.122;
T_38.117 ;
T_38.117 ;
    %movi 8, 33, 6;
    %movi 8, 33, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %movi 8, 128, 8;
    %movi 8, 128, 8;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v0x130d230_0, 0, 8;
    %assign/v0 v0xa73fe0_0, 0, 8;
    %jmp T_38.122;
    %jmp T_38.122;
T_38.118 ;
T_38.118 ;
    %movi 8, 51, 6;
    %movi 8, 51, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %movi 8, 32, 6;
    %movi 8, 32, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130dc90_0, 0, 8;
    %assign/v0 v0xa74a40_0, 0, 8;
    %movi 8, 9, 6;
    %movi 8, 9, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130dbf0_0, 0, 8;
    %assign/v0 v0xa749a0_0, 0, 8;
    %jmp T_38.122;
    %jmp T_38.122;
T_38.119 ;
T_38.119 ;
    %movi 8, 33, 6;
    %movi 8, 33, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130dc90_0, 0, 8;
    %assign/v0 v0xa74a40_0, 0, 8;
    %movi 8, 51, 6;
    %movi 8, 51, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %jmp T_38.122;
    %jmp T_38.122;
T_38.120 ;
T_38.120 ;
    %movi 8, 33, 6;
    %movi 8, 33, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v0x130d230_0, 0, 1;
    %assign/v0 v0xa73fe0_0, 0, 1;
    %jmp T_38.122;
    %jmp T_38.122;
T_38.122 ;
T_38.122 ;
    %jmp T_38.115;
    %jmp T_38.115;
T_38.108 ;
T_38.108 ;
    %load/v 8, v0x130ac40_0, 1;
    %load/v 8, v0xa71a10_0, 1;
    %jmp/0xz  T_38.123, 8;
    %jmp/0xz  T_38.123, 8;
    %movi 8, 48, 6;
    %movi 8, 48, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %jmp T_38.124;
    %jmp T_38.124;
T_38.123 ;
T_38.123 ;
    %movi 8, 51, 6;
    %movi 8, 51, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
T_38.124 ;
T_38.124 ;
    %movi 8, 17, 6;
    %movi 8, 17, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130dc90_0, 0, 8;
    %assign/v0 v0xa74a40_0, 0, 8;
    %jmp T_38.115;
    %jmp T_38.115;
T_38.109 ;
T_38.109 ;
    %load/v 8, v0x130cd20_0, 8;
    %load/v 8, v0xa73a90_0, 8;
    %cmpi/u 8, 61, 8;
    %cmpi/u 8, 61, 8;
    %jmp/1 T_38.125, 6;
    %jmp/1 T_38.125, 6;
    %cmpi/u 8, 63, 8;
    %cmpi/u 8, 63, 8;
    %jmp/1 T_38.126, 6;
    %jmp/1 T_38.126, 6;
    %movi 8, 17, 6;
    %movi 8, 17, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %jmp T_38.128;
    %jmp T_38.128;
T_38.125 ;
T_38.125 ;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x130c710_0, 0, 1;
    %assign/v0 v0xa71ba0_0, 0, 1;
    %movi 8, 17, 6;
    %movi 8, 17, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %jmp T_38.128;
    %jmp T_38.128;
T_38.126 ;
T_38.126 ;
    %movi 8, 2, 6;
    %movi 8, 2, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %jmp T_38.128;
    %jmp T_38.128;
T_38.128 ;
T_38.128 ;
    %jmp T_38.115;
    %jmp T_38.115;
T_38.110 ;
T_38.110 ;
    %movi 8, 51, 6;
    %movi 8, 51, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
 
    %load/v 8, v0xa72300_0, 3;
 
    %cmpi/u 8, 4, 3;
 
    %jmp/0xz  T_38.129, 4;
 
    %movi 8, 28, 6;
 
    %ix/load 0, 6, 0;
 
    %assign/v0 v0xa74a40_0, 0, 8;
 
    %jmp T_38.130;
 
T_38.129 ;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %ix/load 0, 2, 0;
    %ix/load 0, 2, 0;
    %assign/v0 v0x130c470_0, 0, 8;
    %assign/v0 v0xa73240_0, 0, 8;
    %load/v 8, v0x130b600_0, 4;
    %load/v 8, v0xa723d0_0, 4;
    %cmpi/u 8, 12, 4;
    %cmpi/u 8, 12, 4;
    %mov 8, 4, 1;
    %mov 8, 4, 1;
    %load/v 9, v0x130b600_0, 4;
    %load/v 9, v0xa723d0_0, 4;
    %cmpi/u 9, 6, 4;
    %cmpi/u 9, 6, 4;
    %or 8, 4, 1;
    %or 8, 4, 1;
    %load/v 9, v0x130b390_0, 4;
    %load/v 9, v0xa72160_0, 4;
    %cmpi/u 9, 12, 4;
    %cmpi/u 9, 12, 4;
    %or 8, 4, 1;
    %or 8, 4, 1;
    %jmp/0xz  T_38.129, 8;
    %jmp/0xz  T_38.131, 8;
    %movi 8, 54, 6;
    %movi 8, 54, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130dc90_0, 0, 8;
    %assign/v0 v0xa74a40_0, 0, 8;
    %movi 8, 17, 6;
    %movi 8, 17, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130db50_0, 0, 8;
    %assign/v0 v0xa74900_0, 0, 8;
    %jmp T_38.130;
    %jmp T_38.132;
T_38.129 ;
T_38.131 ;
    %movi 8, 17, 6;
    %movi 8, 17, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130dc90_0, 0, 8;
    %assign/v0 v0xa74a40_0, 0, 8;
T_38.130 ;
T_38.132 ;
    %load/v 8, v0x130ddf0_0, 8;
    %load/v 8, v0xa74ba0_0, 8;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v0x130beb0_0, 0, 8;
    %assign/v0 v0xa72c80_0, 0, 8;
 
T_38.130 ;
    %jmp T_38.115;
    %jmp T_38.115;
T_38.111 ;
T_38.111 ;
    %movi 8, 22, 6;
    %movi 8, 22, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %jmp T_38.115;
    %jmp T_38.115;
T_38.112 ;
T_38.112 ;
    %movi 8, 48, 6;
    %movi 8, 48, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
 
    %load/v 8, v0xa72300_0, 3;
 
    %cmpi/u 8, 4, 3;
 
    %jmp/0xz  T_38.133, 4;
 
    %movi 8, 28, 6;
 
    %ix/load 0, 6, 0;
 
    %assign/v0 v0xa74a40_0, 0, 8;
 
    %jmp T_38.134;
 
T_38.133 ;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %ix/load 0, 2, 0;
    %ix/load 0, 2, 0;
    %assign/v0 v0x130c470_0, 0, 8;
    %assign/v0 v0xa73240_0, 0, 8;
    %load/v 8, v0x130b600_0, 4;
    %load/v 8, v0xa723d0_0, 4;
    %cmpi/u 8, 12, 4;
    %cmpi/u 8, 12, 4;
    %mov 8, 4, 1;
    %mov 8, 4, 1;
    %load/v 9, v0x130b600_0, 4;
    %load/v 9, v0xa723d0_0, 4;
    %cmpi/u 9, 6, 4;
    %cmpi/u 9, 6, 4;
    %or 8, 4, 1;
    %or 8, 4, 1;
    %load/v 9, v0x130b390_0, 4;
    %load/v 9, v0xa72160_0, 4;
    %cmpi/u 9, 12, 4;
    %cmpi/u 9, 12, 4;
    %or 8, 4, 1;
    %or 8, 4, 1;
    %jmp/0xz  T_38.131, 8;
    %jmp/0xz  T_38.135, 8;
    %movi 8, 54, 6;
    %movi 8, 54, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130dc90_0, 0, 8;
    %assign/v0 v0xa74a40_0, 0, 8;
    %movi 8, 17, 6;
    %movi 8, 17, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130db50_0, 0, 8;
    %assign/v0 v0xa74900_0, 0, 8;
    %jmp T_38.132;
    %jmp T_38.136;
T_38.131 ;
T_38.135 ;
    %movi 8, 17, 6;
    %movi 8, 17, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130dc90_0, 0, 8;
    %assign/v0 v0xa74a40_0, 0, 8;
T_38.132 ;
T_38.136 ;
 
T_38.134 ;
    %jmp T_38.115;
    %jmp T_38.115;
T_38.113 ;
T_38.113 ;
    %movi 8, 51, 6;
    %movi 8, 51, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %load/v 8, v0x130b530_0, 3;
    %load/v 8, v0xa72300_0, 3;
    %cmpi/u 8, 4, 3;
    %cmpi/u 8, 4, 3;
    %jmp/0xz  T_38.133, 4;
    %jmp/0xz  T_38.137, 4;
    %movi 8, 28, 6;
    %movi 8, 28, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130dc90_0, 0, 8;
    %assign/v0 v0xa74a40_0, 0, 8;
    %jmp T_38.134;
    %jmp T_38.138;
T_38.133 ;
T_38.137 ;
    %movi 8, 27, 6;
    %movi 8, 27, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130dc90_0, 0, 8;
    %assign/v0 v0xa74a40_0, 0, 8;
T_38.134 ;
T_38.138 ;
    %jmp T_38.115;
    %jmp T_38.115;
T_38.114 ;
T_38.114 ;
    %movi 8, 48, 6;
    %movi 8, 48, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %load/v 8, v0x130b530_0, 3;
    %load/v 8, v0xa72300_0, 3;
    %cmpi/u 8, 4, 3;
    %cmpi/u 8, 4, 3;
    %jmp/0xz  T_38.135, 4;
    %jmp/0xz  T_38.139, 4;
    %movi 8, 28, 6;
    %movi 8, 28, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130dc90_0, 0, 8;
    %assign/v0 v0xa74a40_0, 0, 8;
    %jmp T_38.136;
    %jmp T_38.140;
T_38.135 ;
T_38.139 ;
    %movi 8, 27, 6;
    %movi 8, 27, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130dc90_0, 0, 8;
    %assign/v0 v0xa74a40_0, 0, 8;
T_38.136 ;
T_38.140 ;
    %jmp T_38.115;
    %jmp T_38.115;
T_38.115 ;
T_38.115 ;
    %jmp T_38.90;
    %jmp T_38.90;
T_38.54 ;
T_38.54 ;
    %load/v 8, v0x130b460_0, 3;
    %load/v 8, v0xa72230_0, 3;
    %cmpi/u 8, 0, 3;
    %cmpi/u 8, 0, 3;
    %jmp/1 T_38.137, 6;
    %jmp/1 T_38.141, 6;
    %cmpi/u 8, 1, 3;
    %cmpi/u 8, 1, 3;
    %jmp/1 T_38.138, 6;
    %jmp/1 T_38.142, 6;
    %cmpi/u 8, 2, 3;
    %cmpi/u 8, 2, 3;
    %jmp/1 T_38.139, 6;
    %jmp/1 T_38.143, 6;
    %cmpi/u 8, 3, 3;
    %cmpi/u 8, 3, 3;
    %jmp/1 T_38.140, 6;
    %jmp/1 T_38.144, 6;
    %cmpi/u 8, 4, 3;
    %cmpi/u 8, 4, 3;
    %jmp/1 T_38.141, 6;
    %jmp/1 T_38.145, 6;
    %cmpi/u 8, 5, 3;
    %cmpi/u 8, 5, 3;
    %jmp/1 T_38.142, 6;
    %jmp/1 T_38.146, 6;
    %cmpi/u 8, 7, 3;
    %cmpi/u 8, 7, 3;
    %jmp/1 T_38.143, 6;
    %jmp/1 T_38.147, 6;
    %jmp T_38.144;
    %jmp T_38.148;
T_38.137 ;
T_38.141 ;
    %movi 8, 9, 6;
    %movi 8, 9, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %jmp T_38.144;
    %jmp T_38.148;
T_38.138 ;
T_38.142 ;
    %load/v 8, v0x130cd20_0, 8;
    %load/v 8, v0xa73a90_0, 8;
    %cmpi/u 8, 30, 8;
    %cmpi/u 8, 30, 8;
    %jmp/1 T_38.145, 6;
    %jmp/1 T_38.149, 6;
    %cmpi/u 8, 31, 8;
    %cmpi/u 8, 31, 8;
    %jmp/1 T_38.146, 6;
    %jmp/1 T_38.150, 6;
    %movi 8, 17, 6;
    %movi 8, 17, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130dc90_0, 0, 8;
    %assign/v0 v0xa74a40_0, 0, 8;
    %load/v 8, v0x130ac40_0, 1;
    %load/v 8, v0xa71a10_0, 1;
    %jmp/0xz  T_38.149, 8;
    %jmp/0xz  T_38.153, 8;
    %movi 8, 48, 6;
    %movi 8, 48, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %jmp T_38.150;
    %jmp T_38.154;
T_38.149 ;
T_38.153 ;
    %movi 8, 51, 6;
    %movi 8, 51, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
T_38.150 ;
T_38.154 ;
    %jmp T_38.148;
    %jmp T_38.152;
T_38.145 ;
T_38.149 ;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x130d810_0, 0, 1;
    %assign/v0 v0xa745c0_0, 0, 1;
    %movi 8, 21, 6;
    %movi 8, 21, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %jmp T_38.148;
    %jmp T_38.152;
T_38.146 ;
T_38.150 ;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x130da80_0, 0, 1;
    %assign/v0 v0xa74830_0, 0, 1;
    %movi 8, 21, 6;
    %movi 8, 21, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
 
    %jmp T_38.152;
 
T_38.152 ;
    %jmp T_38.148;
    %jmp T_38.148;
T_38.148 ;
T_38.143 ;
    %jmp T_38.144;
    %load/v 8, v0xa73a90_0, 8;
T_38.139 ;
 
    %load/v 8, v0x130cd20_0, 8;
 
    %cmpi/u 8, 63, 8;
    %cmpi/u 8, 63, 8;
    %jmp/1 T_38.151, 6;
    %jmp/1 T_38.155, 6;
    %movi 8, 17, 6;
    %movi 8, 17, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %jmp T_38.153;
    %jmp T_38.157;
T_38.151 ;
T_38.155 ;
    %load/v 8, v0x130ce50_0, 1;
    %load/v 8, v0xa73be0_0, 1;
    %jmp/0xz  T_38.154, 8;
    %jmp/0xz  T_38.158, 8;
    %movi 8, 5, 6;
    %movi 8, 5, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %jmp T_38.155;
    %jmp T_38.159;
T_38.154 ;
T_38.158 ;
    %movi 8, 6, 6;
    %movi 8, 6, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
T_38.155 ;
T_38.159 ;
    %jmp T_38.153;
    %jmp T_38.157;
T_38.153 ;
T_38.157 ;
    %jmp T_38.144;
    %jmp T_38.148;
T_38.140 ;
T_38.144 ;
    %movi 8, 51, 6;
    %movi 8, 51, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %ix/load 0, 2, 0;
    %ix/load 0, 2, 0;
    %assign/v0 v0x130c470_0, 0, 8;
    %assign/v0 v0xa73240_0, 0, 8;
    %load/v 8, v0x130b600_0, 4;
    %load/v 8, v0xa723d0_0, 4;
    %cmpi/u 8, 12, 4;
    %cmpi/u 8, 12, 4;
    %mov 8, 4, 1;
    %mov 8, 4, 1;
    %load/v 9, v0x130b600_0, 4;
    %load/v 9, v0xa723d0_0, 4;
    %cmpi/u 9, 6, 4;
    %cmpi/u 9, 6, 4;
    %or 8, 4, 1;
    %or 8, 4, 1;
    %load/v 9, v0x130b390_0, 4;
    %load/v 9, v0xa72160_0, 4;
    %cmpi/u 9, 12, 4;
    %cmpi/u 9, 12, 4;
    %or 8, 4, 1;
    %or 8, 4, 1;
    %jmp/0xz  T_38.156, 8;
    %jmp/0xz  T_38.160, 8;
    %movi 8, 54, 6;
    %movi 8, 54, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130dc90_0, 0, 8;
    %assign/v0 v0xa74a40_0, 0, 8;
    %movi 8, 17, 6;
    %movi 8, 17, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130db50_0, 0, 8;
    %assign/v0 v0xa74900_0, 0, 8;
    %jmp T_38.157;
    %jmp T_38.161;
T_38.156 ;
T_38.160 ;
    %movi 8, 17, 6;
    %movi 8, 17, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130dc90_0, 0, 8;
    %assign/v0 v0xa74a40_0, 0, 8;
T_38.157 ;
T_38.161 ;
    %load/v 8, v0x130ddf0_0, 8;
    %load/v 8, v0xa74ba0_0, 8;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v0x130beb0_0, 0, 8;
    %assign/v0 v0xa72c80_0, 0, 8;
    %jmp T_38.144;
    %jmp T_38.148;
T_38.141 ;
T_38.145 ;
    %movi 8, 22, 6;
    %movi 8, 22, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %jmp T_38.144;
    %jmp T_38.148;
T_38.142 ;
T_38.146 ;
    %movi 8, 48, 6;
    %movi 8, 48, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %ix/load 0, 2, 0;
    %ix/load 0, 2, 0;
    %assign/v0 v0x130c470_0, 0, 8;
    %assign/v0 v0xa73240_0, 0, 8;
    %load/v 8, v0x130b600_0, 4;
    %load/v 8, v0xa723d0_0, 4;
    %cmpi/u 8, 12, 4;
    %cmpi/u 8, 12, 4;
    %mov 8, 4, 1;
    %mov 8, 4, 1;
    %load/v 9, v0x130b600_0, 4;
    %load/v 9, v0xa723d0_0, 4;
    %cmpi/u 9, 6, 4;
    %cmpi/u 9, 6, 4;
    %or 8, 4, 1;
    %or 8, 4, 1;
    %load/v 9, v0x130b390_0, 4;
    %load/v 9, v0xa72160_0, 4;
    %cmpi/u 9, 12, 4;
    %cmpi/u 9, 12, 4;
    %or 8, 4, 1;
    %or 8, 4, 1;
    %jmp/0xz  T_38.158, 8;
    %jmp/0xz  T_38.162, 8;
    %movi 8, 54, 6;
    %movi 8, 54, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130dc90_0, 0, 8;
    %assign/v0 v0xa74a40_0, 0, 8;
    %movi 8, 17, 6;
    %movi 8, 17, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130db50_0, 0, 8;
    %assign/v0 v0xa74900_0, 0, 8;
    %jmp T_38.159;
    %jmp T_38.163;
T_38.158 ;
T_38.162 ;
    %movi 8, 17, 6;
    %movi 8, 17, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130dc90_0, 0, 8;
    %assign/v0 v0xa74a40_0, 0, 8;
T_38.159 ;
T_38.163 ;
    %jmp T_38.144;
    %jmp T_38.148;
T_38.143 ;
T_38.147 ;
    %movi 8, 48, 6;
    %movi 8, 48, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %movi 8, 27, 6;
    %movi 8, 27, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130dc90_0, 0, 8;
    %assign/v0 v0xa74a40_0, 0, 8;
    %jmp T_38.144;
    %jmp T_38.148;
T_38.144 ;
T_38.148 ;
    %jmp T_38.90;
    %jmp T_38.90;
T_38.55 ;
T_38.55 ;
    %load/v 8, v0x130c710_0, 1;
    %load/v 8, v0xa71ba0_0, 1;
    %inv 8, 1;
    %inv 8, 1;
    %jmp/0xz  T_38.160, 8;
    %jmp/0xz  T_38.164, 8;
    %movi 8, 18, 6;
    %movi 8, 18, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x130d740_0, 0, 1;
    %assign/v0 v0xa744f0_0, 0, 1;
T_38.160 ;
T_38.164 ;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x130c710_0, 0, 0;
    %assign/v0 v0xa71ba0_0, 0, 0;
    %jmp T_38.90;
    %jmp T_38.90;
T_38.56 ;
T_38.56 ;
    %movi 8, 9, 6;
    %movi 8, 9, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130db50_0, 0, 8;
    %assign/v0 v0xa74900_0, 0, 8;
    %load/v 8, v0x130cd20_0, 8;
    %load/v 8, v0xa73a90_0, 8;
    %movi 16, 60, 8;
    %movi 16, 60, 8;
    %cmp/x 8, 16, 8;
    %cmp/x 8, 16, 8;
    %jmp/1 T_38.162, 4;
    %jmp/1 T_38.166, 4;
    %load/v 8, v0x130add0_0, 4;
    %load/v 8, v0xa70e60_0, 4;
    %cmpi/u 8, 12, 4;
    %cmpi/u 8, 12, 4;
    %jmp/1 T_38.165, 6;
    %jmp/1 T_38.169, 6;
    %cmpi/u 8, 6, 4;
    %cmpi/u 8, 6, 4;
    %jmp/1 T_38.166, 6;
    %jmp/1 T_38.170, 6;
    %movi 8, 9, 6;
    %movi 8, 9, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %load/v 8, v0x130b2c0_0, 1;
    %load/v 8, v0xa72090_0, 1;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x130d9b0_0, 0, 8;
    %assign/v0 v0xa74760_0, 0, 8;
    %jmp T_38.168;
    %jmp T_38.172;
T_38.165 ;
T_38.169 ;
    %movi 8, 62, 6;
    %movi 8, 62, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %jmp T_38.168;
    %jmp T_38.172;
T_38.166 ;
T_38.170 ;
    %movi 8, 60, 6;
    %movi 8, 60, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
 
    %jmp T_38.172;
 
T_38.172 ;
    %jmp T_38.168;
    %jmp T_38.168;
T_38.168 ;
T_38.166 ;
    %jmp T_38.164;
 
T_38.162 ;
 
    %movi 8, 19, 6;
    %movi 8, 19, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %jmp T_38.164;
    %jmp T_38.168;
T_38.164 ;
T_38.168 ;
    %jmp T_38.90;
    %jmp T_38.90;
T_38.57 ;
T_38.57 ;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v0x130d230_0, 0, 1;
    %assign/v0 v0xa73fe0_0, 0, 1;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x130d670_0, 0, 1;
    %assign/v0 v0xa74420_0, 0, 1;
    %movi 8, 32, 6;
    %movi 8, 32, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %movi 8, 20, 6;
    %movi 8, 20, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130dbf0_0, 0, 8;
    %assign/v0 v0xa749a0_0, 0, 8;
    %jmp T_38.90;
    %jmp T_38.90;
T_38.58 ;
T_38.58 ;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x130c0d0_0, 0, 1;
    %assign/v0 v0xa72ea0_0, 0, 1;
    %movi 8, 9, 6;
    %movi 8, 9, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130db50_0, 0, 8;
    %assign/v0 v0xa74900_0, 0, 8;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v0x130beb0_0, 0, 1;
    %assign/v0 v0xa72c80_0, 0, 1;
    %ix/load 0, 4, 0;
    %ix/load 0, 4, 0;
    %ix/load 1, 4, 0;
    %ix/load 1, 4, 0;
    %assign/v0/x1 v0x130bf50_0, 0, 1;
    %assign/v0/x1 v0xa72d20_0, 0, 1;
    %load/v 8, v0x130af10_0, 1;
    %load/v 8, v0xa738b0_0, 1;
    %jmp/0xz  T_38.169, 8;
 
    %ix/load 0, 3, 0;
 
    %assign/v0 v0x130d4d0_0, 0, 0;
 
    %movi 8, 12, 4;
 
    %ix/load 0, 4, 0;
 
    %ix/load 1, 0, 0;
 
    %assign/v0/x1 v0x130bf50_0, 0, 8;
 
    %movi 8, 54, 6;
 
    %ix/load 0, 6, 0;
 
    %assign/v0 v0x130e2f0_0, 0, 8;
 
    %jmp T_38.170;
 
T_38.169 ;
 
    %load/v 8, v0x130c010_0, 1;
 
    %ix/load 1, 6, 0;
 
    %mov 4, 0, 1;
 
    %jmp/1 T_38.171, 4;
 
    %load/x1p 9, v0x130dd30_0, 1;
 
    %jmp T_38.172;
 
T_38.171 ;
 
    %mov 9, 2, 1;
 
T_38.172 ;
 
; Save base=9 wid=1 in lookaside.
 
    %and 8, 9, 1;
 
    %jmp/0xz  T_38.173, 8;
    %jmp/0xz  T_38.173, 8;
    %ix/load 0, 3, 0;
    %ix/load 0, 3, 0;
    %assign/v0 v0x130d310_0, 0, 0;
    %assign/v0 v0xa74280_0, 0, 0;
    %movi 8, 6, 4;
    %movi 8, 12, 4;
    %ix/load 0, 4, 0;
    %ix/load 0, 4, 0;
    %ix/load 1, 0, 0;
    %ix/load 1, 0, 0;
    %assign/v0/x1 v0x130bf50_0, 0, 8;
    %assign/v0/x1 v0xa72d20_0, 0, 8;
    %movi 8, 54, 6;
    %movi 8, 54, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %jmp T_38.174;
    %jmp T_38.174;
T_38.173 ;
T_38.173 ;
    %load/v 8, v0x130c3d0_0, 1;
    %load/v 8, v0xa72de0_0, 1;
    %ix/load 1, 5, 0;
    %ix/load 1, 6, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_38.175, 4;
    %jmp/1 T_38.175, 4;
    %load/x1p 9, v0x130dd30_0, 1;
    %load/x1p 9, v0xa74ae0_0, 1;
    %jmp T_38.176;
    %jmp T_38.176;
T_38.175 ;
T_38.175 ;
    %mov 9, 2, 1;
    %mov 9, 2, 1;
T_38.176 ;
T_38.176 ;
; Save base=9 wid=1 in lookaside.
; Save base=9 wid=1 in lookaside.
    %and 8, 9, 1;
    %and 8, 9, 1;
    %jmp/0xz  T_38.177, 8;
    %jmp/0xz  T_38.177, 8;
    %ix/load 0, 3, 0;
    %ix/load 0, 3, 0;
    %assign/v0 v0x130d3f0_0, 0, 0;
    %assign/v0 v0xa740c0_0, 0, 0;
    %movi 8, 8, 4;
    %movi 8, 6, 4;
    %ix/load 0, 4, 0;
    %ix/load 0, 4, 0;
    %ix/load 1, 0, 0;
    %ix/load 1, 0, 0;
    %assign/v0/x1 v0x130bf50_0, 0, 8;
    %assign/v0/x1 v0xa72d20_0, 0, 8;
    %movi 8, 54, 6;
    %movi 8, 54, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
 
    %jmp T_38.178;
T_38.177 ;
T_38.177 ;
 
    %load/v 8, v0xa731a0_0, 1;
 
    %ix/load 1, 5, 0;
 
    %mov 4, 0, 1;
 
    %jmp/1 T_38.179, 4;
 
    %load/x1p 9, v0xa74ae0_0, 1;
 
    %jmp T_38.180;
 
T_38.179 ;
 
    %mov 9, 2, 1;
 
T_38.180 ;
 
; Save base=9 wid=1 in lookaside.
 
    %and 8, 9, 1;
 
    %jmp/0xz  T_38.181, 8;
 
    %ix/load 0, 3, 0;
 
    %assign/v0 v0xa741a0_0, 0, 0;
 
    %movi 8, 8, 4;
 
    %ix/load 0, 4, 0;
 
    %ix/load 1, 0, 0;
 
    %assign/v0/x1 v0xa72d20_0, 0, 8;
 
    %movi 8, 54, 6;
 
    %ix/load 0, 6, 0;
 
    %assign/v0 v0xa750a0_0, 0, 8;
 
T_38.181 ;
 
T_38.178 ;
T_38.174 ;
T_38.174 ;
T_38.170 ;
 
    %jmp T_38.90;
    %jmp T_38.90;
T_38.59 ;
T_38.59 ;
    %load/v 8, v0x130af10_0, 1;
    %load/v 8, v0xa738b0_0, 1;
    %jmp/0xz  T_38.179, 8;
    %jmp/0xz  T_38.183, 8;
    %load/v 8, v0x130d4d0_0, 3;
    %load/v 8, v0xa74280_0, 3;
    %cmpi/u 8, 7, 3;
    %cmpi/u 8, 7, 3;
    %jmp/0xz  T_38.181, 4;
    %jmp/0xz  T_38.185, 4;
    %movi 8, 1, 6;
    %movi 8, 1, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %jmp T_38.182;
    %jmp T_38.186;
T_38.181 ;
T_38.185 ;
    %movi 8, 9, 6;
    %movi 8, 9, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %ix/load 0, 3, 0;
    %ix/load 0, 3, 0;
    %assign/v0 v0x130d4d0_0, 0, 0;
    %assign/v0 v0xa74280_0, 0, 0;
T_38.182 ;
T_38.186 ;
    %jmp T_38.180;
 
T_38.179 ;
 
    %load/v 8, v0x130c010_0, 1;
 
    %ix/load 1, 6, 0;
 
    %mov 4, 0, 1;
 
    %jmp/1 T_38.183, 4;
 
    %load/x1p 9, v0x130dd30_0, 1;
 
    %jmp T_38.184;
    %jmp T_38.184;
T_38.183 ;
T_38.183 ;
 
    %load/v 8, v0xa72de0_0, 1;
 
    %ix/load 1, 6, 0;
 
    %mov 4, 0, 1;
 
    %jmp/1 T_38.187, 4;
 
    %load/x1p 9, v0xa74ae0_0, 1;
 
    %jmp T_38.188;
 
T_38.187 ;
    %mov 9, 2, 1;
    %mov 9, 2, 1;
T_38.184 ;
T_38.188 ;
; Save base=9 wid=1 in lookaside.
; Save base=9 wid=1 in lookaside.
    %and 8, 9, 1;
    %and 8, 9, 1;
    %jmp/0xz  T_38.185, 8;
    %jmp/0xz  T_38.189, 8;
    %load/v 8, v0x130d310_0, 3;
    %load/v 8, v0xa740c0_0, 3;
    %cmpi/u 8, 7, 3;
    %cmpi/u 8, 7, 3;
    %jmp/0xz  T_38.187, 4;
    %jmp/0xz  T_38.191, 4;
    %movi 8, 4, 6;
    %movi 8, 4, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %jmp T_38.188;
    %jmp T_38.192;
T_38.187 ;
T_38.191 ;
    %movi 8, 9, 6;
    %movi 8, 9, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %ix/load 0, 3, 0;
    %ix/load 0, 3, 0;
    %assign/v0 v0x130d310_0, 0, 0;
    %assign/v0 v0xa740c0_0, 0, 0;
T_38.188 ;
T_38.192 ;
    %jmp T_38.186;
 
T_38.185 ;
 
    %load/v 8, v0x130c3d0_0, 1;
 
    %ix/load 1, 5, 0;
 
    %mov 4, 0, 1;
 
    %jmp/1 T_38.189, 4;
 
    %load/x1p 9, v0x130dd30_0, 1;
 
    %jmp T_38.190;
    %jmp T_38.190;
T_38.189 ;
T_38.189 ;
 
    %load/v 8, v0xa731a0_0, 1;
 
    %ix/load 1, 5, 0;
 
    %mov 4, 0, 1;
 
    %jmp/1 T_38.193, 4;
 
    %load/x1p 9, v0xa74ae0_0, 1;
 
    %jmp T_38.194;
 
T_38.193 ;
    %mov 9, 2, 1;
    %mov 9, 2, 1;
T_38.190 ;
T_38.194 ;
; Save base=9 wid=1 in lookaside.
; Save base=9 wid=1 in lookaside.
    %and 8, 9, 1;
    %and 8, 9, 1;
    %jmp/0xz  T_38.191, 8;
    %jmp/0xz  T_38.195, 8;
    %load/v 8, v0x130d3f0_0, 3;
    %load/v 8, v0xa741a0_0, 3;
    %cmpi/u 8, 7, 3;
    %cmpi/u 8, 7, 3;
    %jmp/0xz  T_38.193, 4;
    %jmp/0xz  T_38.197, 4;
    %movi 8, 3, 6;
    %movi 8, 3, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %jmp T_38.194;
    %jmp T_38.198;
T_38.193 ;
T_38.197 ;
    %movi 8, 9, 6;
    %movi 8, 9, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %ix/load 0, 3, 0;
    %ix/load 0, 3, 0;
    %assign/v0 v0x130d3f0_0, 0, 0;
    %assign/v0 v0xa741a0_0, 0, 0;
T_38.194 ;
T_38.198 ;
    %jmp T_38.192;
    %jmp T_38.196;
T_38.191 ;
T_38.195 ;
    %movi 8, 10, 6;
    %movi 8, 10, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %load/v 8, v0x130e080_0, 16;
    %load/v 8, v0xa74e30_0, 16;
    %ix/load 0, 16, 0;
    %ix/load 0, 16, 0;
    %assign/v0 v0x130bb60_0, 0, 8;
    %assign/v0 v0xa72930_0, 0, 8;
T_38.192 ;
T_38.196 ;
T_38.186 ;
T_38.190 ;
T_38.180 ;
T_38.184 ;
    %jmp T_38.90;
    %jmp T_38.90;
T_38.60 ;
T_38.60 ;
    %movi 8, 9, 6;
    %movi 8, 9, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %jmp T_38.90;
    %jmp T_38.90;
T_38.61 ;
T_38.61 ;
    %load/v 8, v0x130e080_0, 16;
    %load/v 8, v0xa74e30_0, 16;
    %ix/load 0, 16, 0;
    %ix/load 0, 16, 0;
    %assign/v0 v0x130bb60_0, 0, 8;
    %assign/v0 v0xa72930_0, 0, 8;
    %movi 8, 23, 6;
    %movi 8, 23, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x130c190_0, 0, 1;
    %assign/v0 v0xa72f60_0, 0, 1;
    %jmp T_38.90;
    %jmp T_38.90;
T_38.62 ;
T_38.62 ;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x130bca0_0, 0, 1;
    %assign/v0 v0xa72a70_0, 0, 1;
    %movi 8, 24, 6;
    %movi 8, 24, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %jmp T_38.90;
    %jmp T_38.90;
T_38.63 ;
T_38.63 ;
    %load/v 8, v0x1309fb0_0, 8;
    %load/v 8, v0xa70c00_0, 8;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v0x130c330_0, 0, 8;
    %assign/v0 v0xa73100_0, 0, 8;
    %movi 8, 25, 6;
    %movi 8, 25, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %jmp T_38.90;
    %jmp T_38.90;
T_38.64 ;
T_38.64 ;
    %load/v 8, v0x130b1f0_0, 1;
    %load/v 8, v0xa71fc0_0, 1;
    %jmp/0xz  T_38.195, 8;
    %jmp/0xz  T_38.199, 8;
    %movi 8, 51, 6;
    %movi 8, 51, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %movi 8, 26, 6;
    %movi 8, 26, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130dc90_0, 0, 8;
    %assign/v0 v0xa74a40_0, 0, 8;
    %jmp T_38.196;
    %jmp T_38.200;
T_38.195 ;
T_38.199 ;
    %load/v 8, v0x130b120_0, 1;
    %load/v 8, v0xa71ef0_0, 1;
    %jmp/0xz  T_38.197, 8;
    %jmp/0xz  T_38.201, 8;
    %movi 8, 48, 6;
    %movi 8, 48, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %movi 8, 26, 6;
    %movi 8, 26, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130dc90_0, 0, 8;
    %assign/v0 v0xa74a40_0, 0, 8;
    %jmp T_38.198;
    %jmp T_38.202;
T_38.197 ;
T_38.201 ;
    %load/v 8, v0x130b600_0, 4;
    %load/v 8, v0xa72300_0, 3;
 
    %cmpi/u 8, 4, 3;
 
    %jmp/0xz  T_38.203, 4;
 
    %movi 8, 28, 6;
 
    %ix/load 0, 6, 0;
 
    %assign/v0 v0xa74a40_0, 0, 8;
 
    %jmp T_38.204;
 
T_38.203 ;
 
    %load/v 8, v0xa723d0_0, 4;
    %cmpi/u 8, 12, 4;
    %cmpi/u 8, 12, 4;
    %mov 8, 4, 1;
    %mov 8, 4, 1;
    %load/v 9, v0x130b600_0, 4;
    %load/v 9, v0xa723d0_0, 4;
    %cmpi/u 9, 6, 4;
    %cmpi/u 9, 6, 4;
    %or 8, 4, 1;
    %or 8, 4, 1;
    %load/v 9, v0x130b390_0, 4;
    %load/v 9, v0xa72160_0, 4;
    %cmpi/u 9, 12, 4;
    %cmpi/u 9, 12, 4;
    %or 8, 4, 1;
    %or 8, 4, 1;
    %jmp/0xz  T_38.199, 8;
    %jmp/0xz  T_38.205, 8;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %ix/load 0, 2, 0;
    %ix/load 0, 2, 0;
    %assign/v0 v0x130c470_0, 0, 8;
    %assign/v0 v0xa73240_0, 0, 8;
    %movi 8, 17, 6;
    %movi 8, 17, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130db50_0, 0, 8;
    %assign/v0 v0xa74900_0, 0, 8;
    %movi 8, 54, 6;
    %movi 8, 54, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %jmp T_38.200;
    %jmp T_38.206;
T_38.199 ;
T_38.205 ;
    %movi 8, 17, 6;
    %movi 8, 17, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
 
T_38.206 ;
 
T_38.204 ;
 
T_38.202 ;
T_38.200 ;
T_38.200 ;
T_38.198 ;
 
T_38.196 ;
 
    %jmp T_38.90;
    %jmp T_38.90;
T_38.65 ;
T_38.65 ;
    %load/v 8, v0x130b600_0, 4;
    %load/v 8, v0xa72300_0, 3;
 
    %cmpi/u 8, 4, 3;
 
    %jmp/0xz  T_38.207, 4;
 
    %movi 8, 28, 6;
 
    %ix/load 0, 6, 0;
 
    %assign/v0 v0xa74a40_0, 0, 8;
 
    %jmp T_38.208;
 
T_38.207 ;
 
    %load/v 8, v0xa723d0_0, 4;
    %cmpi/u 8, 12, 4;
    %cmpi/u 8, 12, 4;
    %mov 8, 4, 1;
    %mov 8, 4, 1;
    %load/v 9, v0x130b600_0, 4;
    %load/v 9, v0xa723d0_0, 4;
    %cmpi/u 9, 6, 4;
    %cmpi/u 9, 6, 4;
    %or 8, 4, 1;
    %or 8, 4, 1;
    %load/v 9, v0x130b390_0, 4;
    %load/v 9, v0xa72160_0, 4;
    %cmpi/u 9, 12, 4;
    %cmpi/u 9, 12, 4;
    %or 8, 4, 1;
    %or 8, 4, 1;
    %jmp/0xz  T_38.201, 8;
    %jmp/0xz  T_38.209, 8;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %ix/load 0, 2, 0;
    %ix/load 0, 2, 0;
    %assign/v0 v0x130c470_0, 0, 8;
    %assign/v0 v0xa73240_0, 0, 8;
    %movi 8, 17, 6;
    %movi 8, 17, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130db50_0, 0, 8;
    %assign/v0 v0xa74900_0, 0, 8;
    %movi 8, 54, 6;
    %movi 8, 54, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %jmp T_38.202;
    %jmp T_38.210;
T_38.201 ;
T_38.209 ;
    %movi 8, 17, 6;
    %movi 8, 17, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
T_38.202 ;
T_38.210 ;
 
T_38.208 ;
    %jmp T_38.90;
    %jmp T_38.90;
T_38.66 ;
T_38.66 ;
    %movi 8, 9, 6;
    %movi 8, 9, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %jmp T_38.90;
    %jmp T_38.90;
T_38.67 ;
T_38.67 ;
    %movi 8, 5, 4;
    %movi 8, 5, 4;
    %ix/load 0, 4, 0;
    %ix/load 0, 4, 0;
    %assign/v0 v0x130d150_0, 0, 8;
    %assign/v0 v0xa73f00_0, 0, 8;
    %movi 8, 34, 6;
    %movi 8, 34, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %movi 8, 27, 6;
    %movi 8, 27, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130dc90_0, 0, 8;
    %assign/v0 v0xa74a40_0, 0, 8;
    %jmp T_38.90;
    %jmp T_38.90;
T_38.68 ;
T_38.68 ;
    %movi 8, 32, 6;
    %movi 8, 32, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130dc90_0, 0, 8;
    %assign/v0 v0xa74a40_0, 0, 8;
    %load/v 8, v0x130d230_0, 8;
    %load/v 8, v0xa73fe0_0, 8;
    %pad 16, 0, 1;
    %pad 16, 0, 1;
    %cmp/u 0, 8, 9;
    %cmp/u 0, 8, 9;
    %jmp/0xz  T_38.203, 5;
    %jmp/0xz  T_38.211, 5;
    %movi 8, 34, 6;
    %movi 8, 34, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %jmp T_38.204;
    %jmp T_38.212;
T_38.203 ;
T_38.211 ;
    %load/v 8, v0x130dbf0_0, 6;
    %load/v 8, v0xa749a0_0, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
T_38.204 ;
T_38.212 ;
    %ix/load 1, 7, 0;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_38.205, 4;
    %jmp/1 T_38.213, 4;
    %load/x1p 8, v0x130d230_0, 1;
    %load/x1p 8, v0xa73fe0_0, 1;
    %jmp T_38.206;
    %jmp T_38.214;
T_38.205 ;
T_38.213 ;
    %mov 8, 2, 1;
    %mov 8, 2, 1;
T_38.206 ;
T_38.214 ;
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %jmp/0xz  T_38.207, 8;
    %jmp/0xz  T_38.215, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %ix/load 1, 7, 0;
    %ix/load 1, 7, 0;
    %assign/v0/x1 v0x130d230_0, 0, 0;
    %assign/v0/x1 v0xa73fe0_0, 0, 0;
    %movi 8, 5, 4;
    %movi 8, 5, 4;
    %ix/load 0, 4, 0;
    %ix/load 0, 4, 0;
    %assign/v0 v0x130d150_0, 0, 8;
    %assign/v0 v0xa73f00_0, 0, 8;
    %jmp T_38.208;
    %jmp T_38.216;
T_38.207 ;
T_38.215 ;
    %ix/load 1, 6, 0;
    %ix/load 1, 6, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_38.209, 4;
    %jmp/1 T_38.217, 4;
    %load/x1p 8, v0x130d230_0, 1;
    %load/x1p 8, v0xa73fe0_0, 1;
    %jmp T_38.210;
    %jmp T_38.218;
T_38.209 ;
T_38.217 ;
    %mov 8, 2, 1;
    %mov 8, 2, 1;
T_38.210 ;
T_38.218 ;
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %jmp/0xz  T_38.211, 8;
    %jmp/0xz  T_38.219, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %ix/load 1, 6, 0;
    %ix/load 1, 6, 0;
    %assign/v0/x1 v0x130d230_0, 0, 0;
    %assign/v0/x1 v0xa73fe0_0, 0, 0;
    %load/v 8, v0x130b860_0, 1;
    %load/v 8, v0xa72630_0, 1;
    %jmp/0  T_38.213, 8;
    %jmp/0  T_38.221, 8;
    %movi 9, 3, 4;
    %movi 9, 3, 4;
    %jmp/1  T_38.215, 8;
    %jmp/1  T_38.223, 8;
T_38.213 ; End of true expr.
T_38.221 ; End of true expr.
    %movi 13, 4, 4;
    %movi 13, 4, 4;
    %jmp/0  T_38.214, 8;
    %jmp/0  T_38.222, 8;
 ; End of false expr.
 ; End of false expr.
    %blend  9, 13, 4; Condition unknown.
    %blend  9, 13, 4; Condition unknown.
    %jmp  T_38.215;
    %jmp  T_38.223;
T_38.214 ;
T_38.222 ;
    %mov 9, 13, 4; Return false value
    %mov 9, 13, 4; Return false value
T_38.215 ;
T_38.223 ;
    %ix/load 0, 4, 0;
    %ix/load 0, 4, 0;
    %assign/v0 v0x130d150_0, 0, 9;
    %assign/v0 v0xa73f00_0, 0, 9;
    %jmp T_38.212;
    %jmp T_38.220;
T_38.211 ;
T_38.219 ;
    %ix/load 1, 5, 0;
    %ix/load 1, 5, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_38.216, 4;
    %jmp/1 T_38.224, 4;
    %load/x1p 8, v0x130d230_0, 1;
    %load/x1p 8, v0xa73fe0_0, 1;
    %jmp T_38.217;
    %jmp T_38.225;
T_38.216 ;
T_38.224 ;
    %mov 8, 2, 1;
    %mov 8, 2, 1;
T_38.217 ;
T_38.225 ;
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %jmp/0xz  T_38.218, 8;
    %jmp/0xz  T_38.226, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %ix/load 1, 5, 0;
    %ix/load 1, 5, 0;
    %assign/v0/x1 v0x130d230_0, 0, 0;
    %assign/v0/x1 v0xa73fe0_0, 0, 0;
    %movi 8, 2, 4;
    %movi 8, 2, 4;
    %ix/load 0, 4, 0;
    %ix/load 0, 4, 0;
    %assign/v0 v0x130d150_0, 0, 8;
    %assign/v0 v0xa73f00_0, 0, 8;
    %jmp T_38.219;
    %jmp T_38.227;
T_38.218 ;
T_38.226 ;
    %ix/load 1, 4, 0;
    %ix/load 1, 4, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_38.220, 4;
    %jmp/1 T_38.228, 4;
    %load/x1p 8, v0x130d230_0, 1;
    %load/x1p 8, v0xa73fe0_0, 1;
    %jmp T_38.221;
    %jmp T_38.229;
T_38.220 ;
T_38.228 ;
    %mov 8, 2, 1;
    %mov 8, 2, 1;
T_38.221 ;
T_38.229 ;
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %jmp/0xz  T_38.222, 8;
    %jmp/0xz  T_38.230, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %ix/load 1, 4, 0;
    %ix/load 1, 4, 0;
    %assign/v0/x1 v0x130d230_0, 0, 0;
    %assign/v0/x1 v0xa73fe0_0, 0, 0;
    %movi 8, 1, 4;
    %movi 8, 1, 4;
    %ix/load 0, 4, 0;
    %ix/load 0, 4, 0;
    %assign/v0 v0x130d150_0, 0, 8;
    %assign/v0 v0xa73f00_0, 0, 8;
    %jmp T_38.223;
    %jmp T_38.231;
T_38.222 ;
T_38.230 ;
    %ix/load 1, 3, 0;
    %ix/load 1, 3, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_38.224, 4;
    %jmp/1 T_38.232, 4;
    %load/x1p 8, v0x130d230_0, 1;
    %load/x1p 8, v0xa73fe0_0, 1;
    %jmp T_38.225;
    %jmp T_38.233;
T_38.224 ;
T_38.232 ;
    %mov 8, 2, 1;
    %mov 8, 2, 1;
T_38.225 ;
T_38.233 ;
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %jmp/0xz  T_38.226, 8;
    %jmp/0xz  T_38.234, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %ix/load 1, 3, 0;
    %ix/load 1, 3, 0;
    %assign/v0/x1 v0x130d230_0, 0, 0;
    %assign/v0/x1 v0xa73fe0_0, 0, 0;
    %movi 8, 11, 4;
    %movi 8, 11, 4;
    %ix/load 0, 4, 0;
    %ix/load 0, 4, 0;
    %assign/v0 v0x130d150_0, 0, 8;
    %assign/v0 v0xa73f00_0, 0, 8;
    %jmp T_38.227;
    %jmp T_38.235;
T_38.226 ;
T_38.234 ;
    %ix/load 1, 2, 0;
    %ix/load 1, 2, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_38.228, 4;
    %jmp/1 T_38.236, 4;
    %load/x1p 8, v0x130d230_0, 1;
    %load/x1p 8, v0xa73fe0_0, 1;
    %jmp T_38.229;
    %jmp T_38.237;
T_38.228 ;
T_38.236 ;
    %mov 8, 2, 1;
    %mov 8, 2, 1;
T_38.229 ;
T_38.237 ;
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %jmp/0xz  T_38.230, 8;
    %jmp/0xz  T_38.238, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %ix/load 1, 2, 0;
    %ix/load 1, 2, 0;
    %assign/v0/x1 v0x130d230_0, 0, 0;
    %assign/v0/x1 v0xa73fe0_0, 0, 0;
    %movi 8, 9, 4;
    %movi 8, 9, 4;
    %ix/load 0, 4, 0;
    %ix/load 0, 4, 0;
    %assign/v0 v0x130d150_0, 0, 8;
    %assign/v0 v0xa73f00_0, 0, 8;
    %jmp T_38.231;
    %jmp T_38.239;
T_38.230 ;
T_38.238 ;
    %ix/load 1, 1, 0;
    %ix/load 1, 1, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_38.232, 4;
    %jmp/1 T_38.240, 4;
    %load/x1p 8, v0x130d230_0, 1;
    %load/x1p 8, v0xa73fe0_0, 1;
    %jmp T_38.233;
    %jmp T_38.241;
T_38.232 ;
T_38.240 ;
    %mov 8, 2, 1;
    %mov 8, 2, 1;
T_38.233 ;
T_38.241 ;
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %jmp/0xz  T_38.234, 8;
    %jmp/0xz  T_38.242, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %ix/load 1, 1, 0;
    %ix/load 1, 1, 0;
    %assign/v0/x1 v0x130d230_0, 0, 0;
    %assign/v0/x1 v0xa73fe0_0, 0, 0;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %ix/load 0, 4, 0;
    %ix/load 0, 4, 0;
    %assign/v0 v0x130d150_0, 0, 8;
    %assign/v0 v0xa73f00_0, 0, 8;
    %jmp T_38.235;
    %jmp T_38.243;
T_38.234 ;
T_38.242 ;
    %load/v 8, v0x130d230_0, 1; Only need 1 of 8 bits
    %load/v 8, v0xa73fe0_0, 1; Only need 1 of 8 bits
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %jmp/0xz  T_38.236, 8;
    %jmp/0xz  T_38.244, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %ix/load 1, 0, 0;
    %ix/load 1, 0, 0;
    %assign/v0/x1 v0x130d230_0, 0, 0;
    %assign/v0/x1 v0xa73fe0_0, 0, 0;
    %movi 8, 10, 4;
    %movi 8, 10, 4;
    %ix/load 0, 4, 0;
    %ix/load 0, 4, 0;
    %assign/v0 v0x130d150_0, 0, 8;
    %assign/v0 v0xa73f00_0, 0, 8;
T_38.236 ;
T_38.244 ;
 
T_38.243 ;
 
T_38.239 ;
T_38.235 ;
T_38.235 ;
T_38.231 ;
T_38.231 ;
T_38.227 ;
T_38.227 ;
T_38.223 ;
T_38.220 ;
T_38.219 ;
T_38.216 ;
T_38.212 ;
 
T_38.208 ;
 
    %jmp T_38.90;
    %jmp T_38.90;
T_38.69 ;
T_38.69 ;
    %load/v 8, v0x130d230_0, 8;
    %load/v 8, v0xa73fe0_0, 8;
    %cmpi/u 8, 0, 8;
    %cmpi/u 8, 0, 8;
    %inv 4, 1;
    %inv 4, 1;
    %jmp/0xz  T_38.238, 4;
    %jmp/0xz  T_38.246, 4;
    %movi 8, 1, 2;
    %movi 8, 1, 2;
    %ix/load 0, 2, 0;
    %ix/load 0, 2, 0;
    %assign/v0 v0x130c470_0, 0, 8;
    %assign/v0 v0xa73240_0, 0, 8;
    %movi 8, 33, 6;
    %movi 8, 33, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130db50_0, 0, 8;
    %assign/v0 v0xa74900_0, 0, 8;
    %jmp T_38.239;
    %jmp T_38.247;
T_38.238 ;
T_38.246 ;
    %movi 8, 9, 6;
    %movi 8, 9, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
T_38.239 ;
T_38.247 ;
    %load/v 8, v0x130d230_0, 1; Only need 1 of 8 bits
    %load/v 8, v0xa73fe0_0, 1; Only need 1 of 8 bits
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %jmp/0xz  T_38.240, 8;
    %jmp/0xz  T_38.248, 8;
    %movi 8, 10, 4;
    %movi 8, 10, 4;
    %ix/load 0, 4, 0;
    %ix/load 0, 4, 0;
    %assign/v0 v0x130d150_0, 0, 8;
    %assign/v0 v0xa73f00_0, 0, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %ix/load 1, 0, 0;
    %ix/load 1, 0, 0;
    %assign/v0/x1 v0x130d230_0, 0, 0;
    %assign/v0/x1 v0xa73fe0_0, 0, 0;
    %movi 8, 57, 6;
    %movi 8, 57, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %jmp T_38.241;
    %jmp T_38.249;
T_38.240 ;
T_38.248 ;
    %load/v 8, v0x130cd20_0, 8;
    %load/v 8, v0xa73a90_0, 8;
    %cmpi/u 8, 59, 8;
    %cmpi/u 8, 59, 8;
    %mov 8, 4, 1;
    %mov 8, 4, 1;
    %ix/load 1, 7, 0;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_38.242, 4;
    %jmp/1 T_38.250, 4;
    %load/x1p 9, v0x130dd30_0, 1;
    %load/x1p 9, v0xa74ae0_0, 1;
    %jmp T_38.243;
    %jmp T_38.251;
T_38.242 ;
T_38.250 ;
    %mov 9, 2, 1;
    %mov 9, 2, 1;
T_38.243 ;
T_38.251 ;
; Save base=9 wid=1 in lookaside.
; Save base=9 wid=1 in lookaside.
    %inv 9, 1;
    %inv 9, 1;
    %and 8, 9, 1;
    %and 8, 9, 1;
    %jmp/0xz  T_38.244, 8;
    %jmp/0xz  T_38.252, 8;
    %movi 8, 5, 4;
    %movi 8, 5, 4;
    %ix/load 0, 4, 0;
    %ix/load 0, 4, 0;
    %assign/v0 v0x130d150_0, 0, 8;
    %assign/v0 v0xa73f00_0, 0, 8;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v0x130d230_0, 0, 0;
    %assign/v0 v0xa73fe0_0, 0, 0;
    %movi 8, 54, 6;
    %movi 8, 54, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %jmp T_38.245;
 
T_38.244 ;
 
    %ix/load 1, 1, 0;
 
    %mov 4, 0, 1;
 
    %jmp/1 T_38.246, 4;
 
    %load/x1p 8, v0x130d230_0, 1;
 
    %jmp T_38.247;
 
T_38.246 ;
 
    %mov 8, 2, 1;
 
T_38.247 ;
 
; Save base=8 wid=1 in lookaside.
 
    %jmp/0xz  T_38.248, 8;
 
    %movi 8, 8, 4;
 
    %ix/load 0, 4, 0;
 
    %assign/v0 v0x130d150_0, 0, 8;
 
    %ix/load 0, 1, 0;
 
    %ix/load 1, 1, 0;
 
    %assign/v0/x1 v0x130d230_0, 0, 0;
 
    %movi 8, 57, 6;
 
    %ix/load 0, 6, 0;
 
    %assign/v0 v0x130e2f0_0, 0, 8;
 
    %jmp T_38.249;
 
T_38.248 ;
 
    %ix/load 1, 2, 0;
 
    %mov 4, 0, 1;
 
    %jmp/1 T_38.250, 4;
 
    %load/x1p 8, v0x130d230_0, 1;
 
    %jmp T_38.251;
 
T_38.250 ;
 
    %mov 8, 2, 1;
 
T_38.251 ;
 
; Save base=8 wid=1 in lookaside.
 
    %jmp/0xz  T_38.252, 8;
 
    %movi 8, 9, 4;
 
    %ix/load 0, 4, 0;
 
    %assign/v0 v0x130d150_0, 0, 8;
 
    %ix/load 0, 1, 0;
 
    %ix/load 1, 2, 0;
 
    %assign/v0/x1 v0x130d230_0, 0, 0;
 
    %movi 8, 57, 6;
 
    %ix/load 0, 6, 0;
 
    %assign/v0 v0x130e2f0_0, 0, 8;
 
    %jmp T_38.253;
    %jmp T_38.253;
T_38.252 ;
T_38.252 ;
    %ix/load 1, 3, 0;
    %ix/load 1, 1, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_38.254, 4;
    %jmp/1 T_38.254, 4;
    %load/x1p 8, v0x130d230_0, 1;
    %load/x1p 8, v0xa73fe0_0, 1;
    %jmp T_38.255;
    %jmp T_38.255;
T_38.254 ;
T_38.254 ;
    %mov 8, 2, 1;
    %mov 8, 2, 1;
T_38.255 ;
T_38.255 ;
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %jmp/0xz  T_38.256, 8;
    %jmp/0xz  T_38.256, 8;
    %movi 8, 11, 4;
    %movi 8, 8, 4;
    %ix/load 0, 4, 0;
    %ix/load 0, 4, 0;
    %assign/v0 v0x130d150_0, 0, 8;
    %assign/v0 v0xa73f00_0, 0, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %ix/load 1, 3, 0;
    %ix/load 1, 1, 0;
    %assign/v0/x1 v0x130d230_0, 0, 0;
    %assign/v0/x1 v0xa73fe0_0, 0, 0;
    %movi 8, 57, 6;
    %movi 8, 57, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %jmp T_38.257;
    %jmp T_38.257;
T_38.256 ;
T_38.256 ;
    %ix/load 1, 4, 0;
    %ix/load 1, 2, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_38.258, 4;
    %jmp/1 T_38.258, 4;
    %load/x1p 8, v0x130d230_0, 1;
    %load/x1p 8, v0xa73fe0_0, 1;
    %jmp T_38.259;
    %jmp T_38.259;
T_38.258 ;
T_38.258 ;
    %mov 8, 2, 1;
    %mov 8, 2, 1;
T_38.259 ;
T_38.259 ;
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %jmp/0xz  T_38.260, 8;
    %jmp/0xz  T_38.260, 8;
    %movi 8, 1, 4;
    %movi 8, 9, 4;
    %ix/load 0, 4, 0;
    %ix/load 0, 4, 0;
    %assign/v0 v0x130d150_0, 0, 8;
    %assign/v0 v0xa73f00_0, 0, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %ix/load 1, 4, 0;
    %ix/load 1, 2, 0;
    %assign/v0/x1 v0x130d230_0, 0, 0;
    %assign/v0/x1 v0xa73fe0_0, 0, 0;
    %movi 8, 54, 6;
    %movi 8, 57, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %jmp T_38.261;
    %jmp T_38.261;
T_38.260 ;
T_38.260 ;
    %ix/load 1, 5, 0;
    %ix/load 1, 3, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_38.262, 4;
    %jmp/1 T_38.262, 4;
    %load/x1p 8, v0x130d230_0, 1;
    %load/x1p 8, v0xa73fe0_0, 1;
    %jmp T_38.263;
    %jmp T_38.263;
T_38.262 ;
T_38.262 ;
    %mov 8, 2, 1;
    %mov 8, 2, 1;
T_38.263 ;
T_38.263 ;
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %jmp/0xz  T_38.264, 8;
    %jmp/0xz  T_38.264, 8;
    %movi 8, 2, 4;
    %movi 8, 11, 4;
    %ix/load 0, 4, 0;
    %ix/load 0, 4, 0;
    %assign/v0 v0x130d150_0, 0, 8;
    %assign/v0 v0xa73f00_0, 0, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %ix/load 1, 5, 0;
    %ix/load 1, 3, 0;
    %assign/v0/x1 v0x130d230_0, 0, 0;
    %assign/v0/x1 v0xa73fe0_0, 0, 0;
    %movi 8, 54, 6;
    %movi 8, 57, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %jmp T_38.265;
    %jmp T_38.265;
T_38.264 ;
T_38.264 ;
    %ix/load 1, 6, 0;
    %ix/load 1, 4, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_38.266, 4;
    %jmp/1 T_38.266, 4;
    %load/x1p 8, v0x130d230_0, 1;
    %load/x1p 8, v0xa73fe0_0, 1;
    %jmp T_38.267;
    %jmp T_38.267;
T_38.266 ;
T_38.266 ;
    %mov 8, 2, 1;
    %mov 8, 2, 1;
T_38.267 ;
T_38.267 ;
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %jmp/0xz  T_38.268, 8;
    %jmp/0xz  T_38.268, 8;
    %load/v 8, v0x130b860_0, 1;
    %movi 8, 1, 4;
    %jmp/0  T_38.270, 8;
    %ix/load 0, 4, 0;
 
    %assign/v0 v0xa73f00_0, 0, 8;
 
    %ix/load 0, 1, 0;
 
    %ix/load 1, 4, 0;
 
    %assign/v0/x1 v0xa73fe0_0, 0, 0;
 
    %movi 8, 54, 6;
 
    %ix/load 0, 6, 0;
 
    %assign/v0 v0xa750a0_0, 0, 8;
 
    %jmp T_38.269;
 
T_38.268 ;
 
    %ix/load 1, 5, 0;
 
    %mov 4, 0, 1;
 
    %jmp/1 T_38.270, 4;
 
    %load/x1p 8, v0xa73fe0_0, 1;
 
    %jmp T_38.271;
 
T_38.270 ;
 
    %mov 8, 2, 1;
 
T_38.271 ;
 
; Save base=8 wid=1 in lookaside.
 
    %jmp/0xz  T_38.272, 8;
 
    %movi 8, 2, 4;
 
    %ix/load 0, 4, 0;
 
    %assign/v0 v0xa73f00_0, 0, 8;
 
    %ix/load 0, 1, 0;
 
    %ix/load 1, 5, 0;
 
    %assign/v0/x1 v0xa73fe0_0, 0, 0;
 
    %movi 8, 54, 6;
 
    %ix/load 0, 6, 0;
 
    %assign/v0 v0xa750a0_0, 0, 8;
 
    %jmp T_38.273;
 
T_38.272 ;
 
    %ix/load 1, 6, 0;
 
    %mov 4, 0, 1;
 
    %jmp/1 T_38.274, 4;
 
    %load/x1p 8, v0xa73fe0_0, 1;
 
    %jmp T_38.275;
 
T_38.274 ;
 
    %mov 8, 2, 1;
 
T_38.275 ;
 
; Save base=8 wid=1 in lookaside.
 
    %jmp/0xz  T_38.276, 8;
 
    %load/v 8, v0xa72630_0, 1;
 
    %jmp/0  T_38.278, 8;
    %movi 9, 3, 4;
    %movi 9, 3, 4;
    %jmp/1  T_38.272, 8;
    %jmp/1  T_38.280, 8;
T_38.270 ; End of true expr.
T_38.278 ; End of true expr.
    %movi 13, 4, 4;
    %movi 13, 4, 4;
    %jmp/0  T_38.271, 8;
    %jmp/0  T_38.279, 8;
 ; End of false expr.
 ; End of false expr.
    %blend  9, 13, 4; Condition unknown.
    %blend  9, 13, 4; Condition unknown.
    %jmp  T_38.272;
    %jmp  T_38.280;
T_38.271 ;
T_38.279 ;
    %mov 9, 13, 4; Return false value
    %mov 9, 13, 4; Return false value
T_38.272 ;
T_38.280 ;
    %ix/load 0, 4, 0;
    %ix/load 0, 4, 0;
    %assign/v0 v0x130d150_0, 0, 9;
    %assign/v0 v0xa73f00_0, 0, 9;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %ix/load 1, 6, 0;
    %ix/load 1, 6, 0;
    %assign/v0/x1 v0x130d230_0, 0, 0;
    %assign/v0/x1 v0xa73fe0_0, 0, 0;
    %movi 8, 54, 6;
    %movi 8, 54, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %jmp T_38.269;
    %jmp T_38.277;
T_38.268 ;
T_38.276 ;
    %ix/load 1, 7, 0;
    %ix/load 1, 7, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_38.273, 4;
    %jmp/1 T_38.281, 4;
    %load/x1p 8, v0x130d230_0, 1;
    %load/x1p 8, v0xa73fe0_0, 1;
    %jmp T_38.274;
    %jmp T_38.282;
T_38.273 ;
T_38.281 ;
    %mov 8, 2, 1;
    %mov 8, 2, 1;
T_38.274 ;
T_38.282 ;
; Save base=8 wid=1 in lookaside.
; Save base=8 wid=1 in lookaside.
    %jmp/0xz  T_38.275, 8;
    %jmp/0xz  T_38.283, 8;
    %movi 8, 5, 4;
    %movi 8, 5, 4;
    %ix/load 0, 4, 0;
    %ix/load 0, 4, 0;
    %assign/v0 v0x130d150_0, 0, 8;
    %assign/v0 v0xa73f00_0, 0, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %ix/load 1, 7, 0;
    %ix/load 1, 7, 0;
    %assign/v0/x1 v0x130d230_0, 0, 0;
    %assign/v0/x1 v0xa73fe0_0, 0, 0;
    %movi 8, 54, 6;
    %movi 8, 54, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
T_38.275 ;
T_38.283 ;
 
T_38.277 ;
 
T_38.273 ;
T_38.269 ;
T_38.269 ;
T_38.265 ;
T_38.265 ;
T_38.261 ;
T_38.261 ;
T_38.257 ;
T_38.257 ;
T_38.253 ;
T_38.253 ;
T_38.249 ;
T_38.249 ;
T_38.245 ;
 
T_38.241 ;
 
    %jmp T_38.90;
    %jmp T_38.90;
T_38.70 ;
T_38.70 ;
    %load/v 8, v0x130dfb0_0, 8; Only need 8 of 16 bits
    %load/v 8, v0xa74d60_0, 8; Only need 8 of 16 bits
; Save base=8 wid=8 in lookaside.
; Save base=8 wid=8 in lookaside.
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v0x130bc00_0, 0, 8;
    %assign/v0 v0xa729d0_0, 0, 8;
    %movi 8, 35, 6;
    %movi 8, 35, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x130bd40_0, 0, 1;
    %assign/v0 v0xa72b10_0, 0, 1;
    %load/v 8, v0x130e220_0, 16;
    %load/v 8, v0xa74fd0_0, 16;
    %subi 8, 1, 16;
    %subi 8, 1, 16;
    %ix/load 0, 16, 0;
    %ix/load 0, 16, 0;
    %assign/v0 v0x130bb60_0, 0, 8;
    %assign/v0 v0xa72930_0, 0, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x130bde0_0, 0, 1;
    %assign/v0 v0xa72bb0_0, 0, 1;
    %jmp T_38.90;
    %jmp T_38.90;
T_38.71 ;
T_38.71 ;
    %load/v 8, v0x130d150_0, 4;
    %load/v 8, v0xa73f00_0, 4;
    %cmpi/u 8, 8, 4;
    %cmpi/u 8, 8, 4;
    %jmp/0xz  T_38.277, 5;
    %jmp/0xz  T_38.285, 5;
    %movi 8, 36, 6;
    %movi 8, 36, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %jmp T_38.278;
    %jmp T_38.286;
T_38.277 ;
T_38.285 ;
    %load/v 8, v0x130d230_0, 4; Select 4 out of 8 bits
    %load/v 8, v0xa73fe0_0, 4; Select 4 out of 8 bits
    %pad 12, 0, 1;
    %pad 12, 0, 1;
    %cmp/u 0, 8, 5;
    %cmp/u 0, 8, 5;
    %jmp/0xz  T_38.279, 5;
    %jmp/0xz  T_38.287, 5;
    %movi 8, 32, 6;
    %movi 8, 32, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %jmp T_38.280;
    %jmp T_38.288;
T_38.279 ;
T_38.287 ;
    %load/v 8, v0x130dbf0_0, 6;
    %load/v 8, v0xa749a0_0, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
T_38.280 ;
T_38.288 ;
T_38.278 ;
T_38.286 ;
    %load/v 8, v0x130bb60_0, 16;
    %load/v 8, v0xa72930_0, 16;
    %subi 8, 1, 16;
    %subi 8, 1, 16;
    %ix/load 0, 16, 0;
    %ix/load 0, 16, 0;
    %assign/v0 v0x130bb60_0, 0, 8;
    %assign/v0 v0xa72930_0, 0, 8;
    %jmp T_38.90;
    %jmp T_38.90;
T_38.72 ;
T_38.72 ;
    %ix/load 1, 8, 0;
    %ix/load 1, 8, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_38.281, 4;
    %jmp/1 T_38.289, 4;
    %load/x1p 8, v0x130dfb0_0, 8;
    %load/x1p 8, v0xa74d60_0, 8;
    %jmp T_38.282;
    %jmp T_38.290;
T_38.281 ;
T_38.289 ;
    %mov 8, 2, 8;
    %mov 8, 2, 8;
T_38.282 ;
T_38.290 ;
; Save base=8 wid=8 in lookaside.
; Save base=8 wid=8 in lookaside.
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v0x130bc00_0, 0, 8;
    %assign/v0 v0xa729d0_0, 0, 8;
    %movi 8, 37, 6;
    %movi 8, 37, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x130bd40_0, 0, 1;
    %assign/v0 v0xa72b10_0, 0, 1;
    %movi 8, 8, 4;
    %movi 8, 8, 4;
    %load/v 12, v0x130d150_0, 4;
    %load/v 12, v0xa73f00_0, 4;
    %cmp/u 8, 12, 4;
    %cmp/u 8, 12, 4;
    %or 5, 4, 1;
    %or 5, 4, 1;
    %jmp/0xz  T_38.283, 5;
    %jmp/0xz  T_38.291, 5;
    %load/v 8, v0x130e220_0, 16;
    %load/v 8, v0xa74fd0_0, 16;
    %ix/load 0, 16, 0;
    %ix/load 0, 16, 0;
    %assign/v0 v0x130bb60_0, 0, 8;
    %assign/v0 v0xa72930_0, 0, 8;
T_38.283 ;
T_38.291 ;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x130bde0_0, 0, 1;
    %assign/v0 v0xa72bb0_0, 0, 1;
    %jmp T_38.90;
    %jmp T_38.90;
T_38.73 ;
T_38.73 ;
    %load/v 8, v0x130dc90_0, 6;
    %load/v 8, v0xa74a40_0, 6;
    %pad 14, 0, 3;
    %pad 14, 0, 3;
    %cmpi/u 8, 27, 9;
    %cmpi/u 8, 27, 9;
    %jmp/0xz  T_38.285, 4;
    %jmp/0xz  T_38.293, 4;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x130d8e0_0, 0, 1;
    %assign/v0 v0xa74690_0, 0, 1;
T_38.285 ;
T_38.293 ;
    %load/v 8, v0x130dc90_0, 6;
    %load/v 8, v0xa74a40_0, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %jmp T_38.90;
    %jmp T_38.90;
T_38.74 ;
T_38.74 ;
    %load/v 8, v0x130e080_0, 16;
    %load/v 8, v0xa74e30_0, 16;
    %ix/load 0, 16, 0;
    %ix/load 0, 16, 0;
    %assign/v0 v0x130bb60_0, 0, 8;
    %assign/v0 v0xa72930_0, 0, 8;
    %movi 8, 49, 6;
    %movi 8, 49, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x130c190_0, 0, 1;
    %assign/v0 v0xa72f60_0, 0, 1;
    %jmp T_38.90;
    %jmp T_38.90;
T_38.75 ;
T_38.75 ;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x130bca0_0, 0, 1;
    %assign/v0 v0xa72a70_0, 0, 1;
    %movi 8, 50, 6;
    %movi 8, 50, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %jmp T_38.90;
    %jmp T_38.90;
T_38.76 ;
T_38.76 ;
    %load/v 8, v0x130b460_0, 3;
    %load/v 8, v0xa72230_0, 3;
    %cmpi/u 8, 7, 3;
    %cmpi/u 8, 7, 3;
    %jmp/1 T_38.287, 6;
    %jmp/1 T_38.295, 6;
    %cmpi/u 8, 1, 3;
    %cmpi/u 8, 1, 3;
    %jmp/1 T_38.288, 6;
    %jmp/1 T_38.296, 6;
    %cmpi/u 8, 5, 3;
    %cmpi/u 8, 5, 3;
    %jmp/1 T_38.289, 6;
    %jmp/1 T_38.297, 6;
    %cmpi/u 8, 4, 3;
    %cmpi/u 8, 4, 3;
    %jmp/1 T_38.290, 6;
    %jmp/1 T_38.298, 6;
    %jmp T_38.291;
    %jmp T_38.299;
T_38.287 ;
T_38.295 ;
    %load/v 8, v0x1309fb0_0, 8;
    %load/v 8, v0xa70c00_0, 8;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v0x130c550_0, 0, 8;
    %assign/v0 v0xa73320_0, 0, 8;
    %jmp T_38.291;
    %jmp T_38.299;
T_38.288 ;
T_38.296 ;
    %load/v 8, v0x1309fb0_0, 8;
    %load/v 8, v0xa70c00_0, 8;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v0x130c550_0, 0, 8;
    %assign/v0 v0xa73320_0, 0, 8;
    %jmp T_38.291;
    %jmp T_38.299;
T_38.289 ;
T_38.297 ;
    %load/v 8, v0x1309fb0_0, 8;
    %load/v 8, v0xa70c00_0, 8;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v0x130beb0_0, 0, 8;
    %assign/v0 v0xa72c80_0, 0, 8;
    %jmp T_38.291;
    %jmp T_38.299;
T_38.290 ;
T_38.298 ;
    %load/v 8, v0x1309fb0_0, 8;
    %load/v 8, v0xa70c00_0, 8;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v0x130afb0_0, 0, 8;
    %assign/v0 v0xa73950_0, 0, 8;
    %jmp T_38.291;
    %jmp T_38.299;
T_38.291 ;
T_38.299 ;
    %movi 8, 51, 6;
    %movi 8, 51, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %jmp T_38.90;
    %jmp T_38.90;
T_38.77 ;
T_38.77 ;
    %load/v 8, v0x130e080_0, 16;
    %load/v 8, v0xa74e30_0, 16;
    %ix/load 0, 16, 0;
    %ix/load 0, 16, 0;
    %assign/v0 v0x130bb60_0, 0, 8;
    %assign/v0 v0xa72930_0, 0, 8;
    %movi 8, 52, 6;
    %movi 8, 52, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x130c190_0, 0, 1;
    %assign/v0 v0xa72f60_0, 0, 1;
    %jmp T_38.90;
    %jmp T_38.90;
T_38.78 ;
T_38.78 ;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x130bca0_0, 0, 1;
    %assign/v0 v0xa72a70_0, 0, 1;
    %movi 8, 53, 6;
    %movi 8, 53, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %jmp T_38.90;
    %jmp T_38.90;
T_38.79 ;
T_38.79 ;
    %load/v 8, v0x130b460_0, 3;
    %load/v 8, v0xa72230_0, 3;
    %cmpi/u 8, 0, 3;
    %cmpi/u 8, 0, 3;
    %jmp/1 T_38.292, 6;
    %jmp/1 T_38.300, 6;
    %cmpi/u 8, 6, 3;
    %cmpi/u 8, 6, 3;
    %jmp/1 T_38.293, 6;
    %jmp/1 T_38.301, 6;
    %cmpi/u 8, 7, 3;
    %cmpi/u 8, 7, 3;
    %jmp/1 T_38.294, 6;
    %jmp/1 T_38.302, 6;
    %cmpi/u 8, 1, 3;
    %cmpi/u 8, 1, 3;
    %jmp/1 T_38.295, 6;
    %jmp/1 T_38.303, 6;
    %cmpi/u 8, 3, 3;
    %cmpi/u 8, 3, 3;
    %jmp/1 T_38.296, 6;
    %jmp/1 T_38.304, 6;
    %cmpi/u 8, 5, 3;
    %cmpi/u 8, 5, 3;
    %jmp/1 T_38.297, 6;
    %jmp/1 T_38.305, 6;
    %cmpi/u 8, 4, 3;
    %cmpi/u 8, 4, 3;
    %jmp/1 T_38.298, 6;
    %jmp/1 T_38.306, 6;
    %jmp T_38.299;
    %jmp T_38.307;
T_38.292 ;
T_38.300 ;
    %load/v 8, v0x1309fb0_0, 8;
    %load/v 8, v0xa70c00_0, 8;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v0x130d230_0, 0, 8;
    %assign/v0 v0xa73fe0_0, 0, 8;
    %jmp T_38.299;
    %jmp T_38.307;
T_38.293 ;
T_38.301 ;
    %load/v 8, v0x1309fb0_0, 8;
    %load/v 8, v0xa70c00_0, 8;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v0x130c630_0, 0, 8;
    %assign/v0 v0xa73400_0, 0, 8;
    %jmp T_38.299;
    %jmp T_38.307;
T_38.294 ;
T_38.302 ;
    %load/v 8, v0x1309fb0_0, 8;
    %load/v 8, v0xa70c00_0, 8;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v0x130c630_0, 0, 8;
    %assign/v0 v0xa73400_0, 0, 8;
    %jmp T_38.299;
    %jmp T_38.307;
T_38.295 ;
T_38.303 ;
    %load/v 8, v0x1309fb0_0, 8;
    %load/v 8, v0xa70c00_0, 8;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v0x130c630_0, 0, 8;
    %assign/v0 v0xa73400_0, 0, 8;
    %jmp T_38.299;
    %jmp T_38.307;
T_38.296 ;
T_38.304 ;
    %load/v 8, v0x1309fb0_0, 8;
    %load/v 8, v0xa70c00_0, 8;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v0x130bf50_0, 0, 8;
    %assign/v0 v0xa72d20_0, 0, 8;
    %jmp T_38.299;
    %jmp T_38.307;
T_38.297 ;
T_38.305 ;
    %load/v 8, v0x1309fb0_0, 8;
    %load/v 8, v0xa70c00_0, 8;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v0x130bf50_0, 0, 8;
    %assign/v0 v0xa72d20_0, 0, 8;
    %jmp T_38.299;
    %jmp T_38.307;
T_38.298 ;
T_38.306 ;
    %load/v 8, v0x1309fb0_0, 8;
    %load/v 8, v0xa70c00_0, 8;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v0x130cc80_0, 0, 8;
    %assign/v0 v0xa739f0_0, 0, 8;
    %jmp T_38.299;
    %jmp T_38.307;
T_38.299 ;
T_38.307 ;
    %load/v 8, v0x130dc90_0, 6;
    %load/v 8, v0xa74a40_0, 6;
    %pad 14, 0, 3;
    %pad 14, 0, 3;
    %cmpi/u 8, 27, 9;
    %cmpi/u 8, 27, 9;
    %mov 8, 4, 1;
    %mov 8, 4, 1;
    %load/v 9, v0x130ad30_0, 1;
    %load/v 9, v0xa71b00_0, 1;
    %and 8, 9, 1;
    %and 8, 9, 1;
    %jmp/0xz  T_38.300, 8;
    %jmp/0xz  T_38.308, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x130d8e0_0, 0, 1;
    %assign/v0 v0xa74690_0, 0, 1;
T_38.300 ;
T_38.308 ;
    %load/v 8, v0x130dc90_0, 6;
    %load/v 8, v0xa74a40_0, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %jmp T_38.90;
    %jmp T_38.90;
T_38.80 ;
T_38.80 ;
    %load/v 8, v0x130b460_0, 3;
    %load/v 8, v0xa72230_0, 3;
    %cmpi/u 8, 0, 3;
    %cmpi/u 8, 0, 3;
    %jmp/1 T_38.302, 6;
    %jmp/1 T_38.310, 6;
    %cmpi/u 8, 4, 3;
    %cmpi/u 8, 4, 3;
    %jmp/1 T_38.303, 6;
    %jmp/1 T_38.311, 6;
    %load/v 8, v0x130bf50_0, 8;
    %load/v 8, v0xa72d20_0, 8;
    %load/v 16, v0x130beb0_0, 8;
    %load/v 16, v0xa72c80_0, 8;
    %ix/load 0, 16, 0;
    %ix/load 0, 16, 0;
    %assign/v0 v0x130bb60_0, 0, 8;
    %assign/v0 v0xa72930_0, 0, 8;
    %jmp T_38.305;
    %jmp T_38.313;
T_38.302 ;
T_38.310 ;
    %load/v 8, v0x130e220_0, 16;
    %load/v 8, v0xa74fd0_0, 16;
    %ix/load 0, 16, 0;
    %ix/load 0, 16, 0;
    %assign/v0 v0x130bb60_0, 0, 8;
    %assign/v0 v0xa72930_0, 0, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x130c260_0, 0, 1;
    %assign/v0 v0xa73030_0, 0, 1;
    %jmp T_38.305;
    %jmp T_38.313;
T_38.303 ;
T_38.311 ;
    %load/v 8, v0x130dee0_0, 16;
    %load/v 8, v0xa74c90_0, 16;
    %ix/load 0, 16, 0;
    %ix/load 0, 16, 0;
    %assign/v0 v0x130bb60_0, 0, 8;
    %assign/v0 v0xa72930_0, 0, 8;
    %jmp T_38.305;
    %jmp T_38.313;
T_38.305 ;
T_38.313 ;
    %load/v 8, v0x130c0d0_0, 1;
    %load/v 8, v0xa72ea0_0, 1;
    %load/v 9, v0x130b790_0, 1;
    %load/v 9, v0xa72560_0, 1;
    %or 8, 9, 1;
    %or 8, 9, 1;
    %load/v 9, v0x130d150_0, 4;
    %load/v 9, v0xa73f00_0, 4;
    %cmpi/u 9, 8, 4;
    %cmpi/u 9, 8, 4;
    %mov 9, 5, 1;
    %mov 9, 5, 1;
    %or 8, 9, 1;
    %or 8, 9, 1;
    %jmp/0xz  T_38.306, 8;
    %jmp/0xz  T_38.314, 8;
    %movi 8, 55, 6;
    %movi 8, 55, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %jmp T_38.307;
    %jmp T_38.315;
T_38.306 ;
T_38.314 ;
    %movi 8, 58, 6;
    %movi 8, 58, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
T_38.307 ;
T_38.315 ;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x130c0d0_0, 0, 0;
    %assign/v0 v0xa72ea0_0, 0, 0;
    %jmp T_38.90;
    %jmp T_38.90;
T_38.81 ;
T_38.81 ;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x130bca0_0, 0, 1;
    %assign/v0 v0xa72a70_0, 0, 1;
    %movi 8, 56, 6;
    %movi 8, 56, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %jmp T_38.90;
    %jmp T_38.90;
T_38.82 ;
T_38.82 ;
    %load/v 8, v0x130c470_0, 2;
    %load/v 8, v0xa73240_0, 2;
    %cmpi/u 8, 0, 2;
    %cmpi/u 8, 0, 2;
    %jmp/1 T_38.308, 6;
    %jmp/1 T_38.316, 6;
    %cmpi/u 8, 1, 2;
    %cmpi/u 8, 1, 2;
    %jmp/1 T_38.309, 6;
    %jmp/1 T_38.317, 6;
    %cmpi/u 8, 2, 2;
    %cmpi/u 8, 2, 2;
    %jmp/1 T_38.310, 6;
    %jmp/1 T_38.318, 6;
    %jmp T_38.311;
    %jmp T_38.319;
T_38.308 ;
T_38.316 ;
    %load/v 8, v0x1309fb0_0, 8;
    %load/v 8, v0xa70c00_0, 8;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v0x130c550_0, 0, 8;
    %assign/v0 v0xa73320_0, 0, 8;
    %jmp T_38.311;
    %jmp T_38.319;
T_38.309 ;
T_38.317 ;
    %load/v 8, v0x1309fb0_0, 8;
    %load/v 8, v0xa70c00_0, 8;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v0x130c550_0, 0, 8;
    %assign/v0 v0xa73320_0, 0, 8;
    %jmp T_38.311;
    %jmp T_38.319;
T_38.310 ;
T_38.318 ;
    %load/v 8, v0x1309fb0_0, 8;
    %load/v 8, v0xa70c00_0, 8;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v0x130beb0_0, 0, 8;
    %assign/v0 v0xa72c80_0, 0, 8;
    %jmp T_38.311;
    %jmp T_38.319;
T_38.311 ;
T_38.319 ;
    %movi 8, 58, 6;
    %movi 8, 58, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %load/vp0 8, v0x130bb60_0, 16;
    %load/vp0 8, v0xa72930_0, 16;
    %ix/load 0, 16, 0;
    %ix/load 0, 16, 0;
    %assign/v0 v0x130bb60_0, 0, 8;
    %assign/v0 v0xa72930_0, 0, 8;
    %load/v 8, v0x130b460_0, 3;
    %load/v 8, v0xa72230_0, 3;
    %cmpi/u 8, 0, 3;
    %cmpi/u 8, 0, 3;
    %jmp/1 T_38.312, 6;
    %jmp/1 T_38.320, 6;
    %jmp T_38.313;
    %jmp T_38.321;
T_38.312 ;
T_38.320 ;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x130c260_0, 0, 1;
    %assign/v0 v0xa73030_0, 0, 1;
    %jmp T_38.313;
    %jmp T_38.321;
T_38.313 ;
T_38.321 ;
    %jmp T_38.90;
    %jmp T_38.90;
T_38.83 ;
T_38.83 ;
    %load/v 8, v0x130b460_0, 3;
    %load/v 8, v0xa72230_0, 3;
    %cmpi/u 8, 0, 3;
    %cmpi/u 8, 0, 3;
    %jmp/1 T_38.314, 6;
    %jmp/1 T_38.322, 6;
    %jmp T_38.315;
    %jmp T_38.323;
T_38.314 ;
T_38.322 ;
    %load/v 8, v0x130e220_0, 16;
    %load/v 8, v0xa74fd0_0, 16;
    %ix/load 0, 16, 0;
    %ix/load 0, 16, 0;
    %assign/v0 v0x130bb60_0, 0, 8;
    %assign/v0 v0xa72930_0, 0, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x130c260_0, 0, 1;
    %assign/v0 v0xa73030_0, 0, 1;
    %jmp T_38.315;
    %jmp T_38.323;
T_38.315 ;
T_38.323 ;
    %movi 8, 58, 6;
    %movi 8, 58, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %jmp T_38.90;
    %jmp T_38.90;
T_38.84 ;
T_38.84 ;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x130bca0_0, 0, 1;
    %assign/v0 v0xa72a70_0, 0, 1;
    %movi 8, 59, 6;
    %movi 8, 59, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %jmp T_38.90;
    %jmp T_38.90;
T_38.85 ;
T_38.85 ;
    %load/v 8, v0x130c470_0, 2;
    %load/v 8, v0xa73240_0, 2;
    %cmpi/u 8, 0, 2;
    %cmpi/u 8, 0, 2;
    %jmp/1 T_38.316, 6;
    %jmp/1 T_38.324, 6;
    %cmpi/u 8, 1, 2;
    %cmpi/u 8, 1, 2;
    %jmp/1 T_38.317, 6;
    %jmp/1 T_38.325, 6;
    %cmpi/u 8, 2, 2;
    %cmpi/u 8, 2, 2;
    %jmp/1 T_38.318, 6;
    %jmp/1 T_38.326, 6;
    %jmp T_38.319;
    %jmp T_38.327;
T_38.316 ;
T_38.324 ;
    %load/v 8, v0x1309fb0_0, 8;
    %load/v 8, v0xa70c00_0, 8;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v0x130c630_0, 0, 8;
    %assign/v0 v0xa73400_0, 0, 8;
    %ix/load 0, 1, 0;
    %jmp T_38.327;
    %assign/v0 v0x130d8e0_0, 0, 1;
T_38.325 ;
    %jmp T_38.319;
    %load/v 8, v0xa70c00_0, 8;
T_38.317 ;
 
    %load/v 8, v0x1309fb0_0, 8;
 
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v0x130c630_0, 0, 8;
    %assign/v0 v0xa73400_0, 0, 8;
    %jmp T_38.319;
    %jmp T_38.327;
T_38.318 ;
T_38.326 ;
    %load/v 8, v0x1309fb0_0, 8;
    %load/v 8, v0xa70c00_0, 8;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v0x130bf50_0, 0, 8;
    %assign/v0 v0xa72d20_0, 0, 8;
    %jmp T_38.319;
    %jmp T_38.327;
T_38.319 ;
T_38.327 ;
    %load/v 8, v0x130b460_0, 3;
    %load/v 8, v0xa72230_0, 3;
    %cmpi/u 8, 0, 3;
    %cmpi/u 8, 0, 3;
    %jmp/1 T_38.320, 6;
    %jmp/1 T_38.328, 6;
    %cmpi/u 8, 2, 3;
    %cmpi/u 8, 2, 3;
    %jmp/1 T_38.321, 6;
    %jmp/1 T_38.329, 6;
    %jmp T_38.322;
    %jmp T_38.330;
T_38.320 ;
T_38.328 ;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x130d740_0, 0, 1;
    %assign/v0 v0xa744f0_0, 0, 1;
    %jmp T_38.322;
    %jmp T_38.330;
T_38.321 ;
T_38.329 ;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x130d740_0, 0, 1;
    %assign/v0 v0xa744f0_0, 0, 1;
    %jmp T_38.322;
    %jmp T_38.330;
T_38.322 ;
T_38.330 ;
    %load/v 8, v0x130db50_0, 6;
    %load/v 8, v0xa74900_0, 6;
 
    %pad 14, 0, 3;
 
    %cmpi/u 8, 8, 9;
 
    %jmp/0xz  T_38.331, 4;
 
    %ix/load 0, 1, 0;
 
    %assign/v0 v0xa74690_0, 0, 1;
 
T_38.331 ;
 
    %load/v 8, v0xa74900_0, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %jmp T_38.90;
    %jmp T_38.90;
T_38.86 ;
T_38.86 ;
    %load/v 8, v0x130b460_0, 3;
    %load/v 8, v0xa72230_0, 3;
    %cmpi/u 8, 4, 3;
    %cmpi/u 8, 4, 3;
    %jmp/1 T_38.323, 6;
    %jmp/1 T_38.333, 6;
    %load/v 8, v0x130bf50_0, 8;
    %load/v 8, v0xa72d20_0, 8;
    %load/v 16, v0x130beb0_0, 8;
    %load/v 16, v0xa72c80_0, 8;
    %ix/load 0, 16, 0;
    %ix/load 0, 16, 0;
    %assign/v0 v0x130bb60_0, 0, 8;
    %assign/v0 v0xa72930_0, 0, 8;
    %jmp T_38.325;
    %jmp T_38.335;
T_38.323 ;
T_38.333 ;
    %load/v 8, v0x130dee0_0, 16;
    %load/v 8, v0xa74c90_0, 16;
    %ix/load 0, 16, 0;
    %ix/load 0, 16, 0;
    %assign/v0 v0x130bb60_0, 0, 8;
    %assign/v0 v0xa72930_0, 0, 8;
    %jmp T_38.325;
    %jmp T_38.335;
T_38.325 ;
T_38.335 ;
    %ix/load 1, 8, 0;
    %ix/load 1, 8, 0;
    %mov 4, 0, 1;
    %mov 4, 0, 1;
    %jmp/1 T_38.326, 4;
    %jmp/1 T_38.336, 4;
    %load/x1p 8, v0x130a9b0_0, 8;
    %load/x1p 8, v0xa71600_0, 8;
    %jmp T_38.327;
    %jmp T_38.337;
T_38.326 ;
T_38.336 ;
    %mov 8, 2, 8;
    %mov 8, 2, 8;
T_38.327 ;
T_38.337 ;
; Save base=8 wid=8 in lookaside.
; Save base=8 wid=8 in lookaside.
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v0x130bc00_0, 0, 8;
    %assign/v0 v0xa729d0_0, 0, 8;
    %movi 8, 61, 6;
    %movi 8, 61, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x130bd40_0, 0, 1;
    %assign/v0 v0xa72b10_0, 0, 1;
    %jmp T_38.90;
    %jmp T_38.90;
T_38.87 ;
T_38.87 ;
    %movi 8, 62, 6;
    %movi 8, 62, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %load/vp0 8, v0x130bb60_0, 16;
    %load/vp0 8, v0xa72930_0, 16;
    %ix/load 0, 16, 0;
    %ix/load 0, 16, 0;
    %assign/v0 v0x130bb60_0, 0, 8;
    %assign/v0 v0xa72930_0, 0, 8;
    %jmp T_38.90;
    %jmp T_38.90;
T_38.88 ;
T_38.88 ;
    %load/v 8, v0x130ac40_0, 1;
    %load/v 8, v0xa71a10_0, 1;
    %inv 8, 1;
    %inv 8, 1;
    %jmp/0xz  T_38.328, 8;
    %jmp/0xz  T_38.338, 8;
    %load/v 8, v0x130b460_0, 3;
    %load/v 8, v0xa72230_0, 3;
    %cmpi/u 8, 4, 3;
    %cmpi/u 8, 4, 3;
    %jmp/1 T_38.330, 6;
    %jmp/1 T_38.340, 6;
    %load/v 8, v0x130bf50_0, 8;
    %load/v 8, v0xa72d20_0, 8;
    %load/v 16, v0x130beb0_0, 8;
    %load/v 16, v0xa72c80_0, 8;
    %ix/load 0, 16, 0;
    %ix/load 0, 16, 0;
    %assign/v0 v0x130bb60_0, 0, 8;
    %assign/v0 v0xa72930_0, 0, 8;
    %jmp T_38.332;
    %jmp T_38.342;
T_38.330 ;
T_38.340 ;
    %load/v 8, v0x130dee0_0, 16;
    %load/v 8, v0xa74c90_0, 16;
    %ix/load 0, 16, 0;
    %ix/load 0, 16, 0;
    %assign/v0 v0x130bb60_0, 0, 8;
    %assign/v0 v0xa72930_0, 0, 8;
    %jmp T_38.332;
    %jmp T_38.342;
T_38.332 ;
T_38.342 ;
T_38.328 ;
T_38.338 ;
    %load/v 8, v0x130a9b0_0, 8; Only need 8 of 16 bits
    %load/v 8, v0xa71600_0, 8; Only need 8 of 16 bits
; Save base=8 wid=8 in lookaside.
; Save base=8 wid=8 in lookaside.
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v0x130bc00_0, 0, 8;
    %assign/v0 v0xa729d0_0, 0, 8;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 1;
    %assign/v0 v0xa750a0_0, 0, 1;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x130bd40_0, 0, 1;
    %assign/v0 v0xa72b10_0, 0, 1;
    %jmp T_38.90;
    %jmp T_38.90;
T_38.89 ;
T_38.89 ;
    %load/v 8, v0x130b2c0_0, 1;
    %load/v 8, v0xa72090_0, 1;
    %ix/load 0, 1, 0;
    %ix/load 0, 1, 0;
    %assign/v0 v0x130d9b0_0, 0, 8;
    %assign/v0 v0xa74760_0, 0, 8;
    %load/v 8, v0x130db50_0, 6;
    %load/v 8, v0xa74900_0, 6;
    %ix/load 0, 6, 0;
    %ix/load 0, 6, 0;
    %assign/v0 v0x130e2f0_0, 0, 8;
    %assign/v0 v0xa750a0_0, 0, 8;
    %jmp T_38.90;
    %jmp T_38.90;
T_38.90 ;
T_38.90 ;
T_38.1 ;
T_38.1 ;
    %jmp T_38;
    %jmp T_38;
    .thread T_38;
    .thread T_38;
    .scope S_0x12338f0;
    .scope S_0x86ef90;
T_39 ;
T_39 ;
    %set/v v0x130bca0_0, 0, 1;
    %set/v v0xa72a70_0, 0, 1;
    %set/v v0x130bd40_0, 0, 1;
    %set/v v0xa72b10_0, 0, 1;
    %set/v v0x130c470_0, 0, 2;
    %set/v v0xa73240_0, 0, 2;
    %set/v v0x130c7d0_0, 1, 16;
    %set/v v0xa71c40_0, 1, 16;
    %set/v v0x130da80_0, 0, 1;
    %set/v v0xa74830_0, 0, 1;
    %set/v v0x130d810_0, 0, 1;
    %set/v v0xa745c0_0, 0, 1;
    %set/v v0x130c710_0, 0, 1;
    %set/v v0xa71ba0_0, 0, 1;
 
    %set/v v0xa744f0_0, 0, 1;
    %end;
    %end;
    .thread T_39;
    .thread T_39;
    .scope S_0x130e570;
    .scope S_0xa75370;
T_40 ;
T_40 ;
    %wait E_0x130e800;
    %wait E_0xa75600;
    %load/v 16, v0x130e8c0_0, 16;
    %load/v 16, v0xa756c0_0, 16;
    %pad 32, 0, 2;
    %pad 32, 0, 2;
    %ix/get 3, 16, 18;
    %ix/get 3, 16, 18;
    %load/av 8, v0x130ec40, 8;
    %load/av 8, v0xa75b20, 8;
    %ix/load 0, 8, 0;
    %ix/load 0, 8, 0;
    %assign/v0 v0x130eba0_0, 0, 8;
    %assign/v0 v0xa75a40_0, 0, 8;
    %jmp T_40;
    %jmp T_40;
    .thread T_40;
    .thread T_40;
    .scope S_0x130e570;
    .scope S_0xa75370;
T_41 ;
T_41 ;
    %wait E_0x130e860;
    %wait E_0xa75660;
    %load/v 8, v0x130e9d0_0, 8;
    %load/v 8, v0xa757d0_0, 8;
    %load/v 16, v0x130e8c0_0, 16;
    %load/v 16, v0xa756c0_0, 16;
    %pad 32, 0, 2;
    %pad 32, 0, 2;
    %ix/get 3, 16, 18;
    %ix/get 3, 16, 18;
    %jmp/1 t_0, 4;
    %jmp/1 t_0, 4;
    %ix/load 1, 0, 0; part off
    %ix/load 1, 0, 0; part off
    %ix/load 0, 8, 0; word width
    %ix/load 0, 8, 0; word width
    %assign/av v0x130ec40, 0, 8;
    %assign/av v0xa75b20, 0, 8;
t_0 ;
t_0 ;
    %vpi_call 2 70 "$display", "W %04x = %02x %t", v0x130e8c0_0, v0x130e9d0_0, $time {0 0};
    %vpi_call 2 70 "$display", "W %04x = %02x %t", v0xa756c0_0, v0xa757d0_0, $time {0 0};
    %jmp T_41;
    %jmp T_41;
    .thread T_41;
    .thread T_41;
    .scope S_0x130e570;
    .scope S_0xa75370;
T_42 ;
T_42 ;
    %wait E_0x130e800;
    %wait E_0xa75600;
    %load/v 16, v0x130e8c0_0, 16;
    %load/v 16, v0xa756c0_0, 16;
    %pad 32, 0, 2;
    %pad 32, 0, 2;
    %ix/get 3, 16, 18;
    %ix/get 3, 16, 18;
    %load/av 8, v0x130ec40, 8;
    %load/av 8, v0xa75b20, 8;
    %vpi_call 2 75 "$display", "R %04x = %02x %t", v0x130e8c0_0, T<8,8,u>, $time {0 0};
    %vpi_call 2 75 "$display", "R %04x = %02x %t", v0xa756c0_0, T<8,8,u>, $time {0 0};
    %jmp T_42;
    %jmp T_42;
    .thread T_42;
    .thread T_42;
    .scope S_0x130e570;
    .scope S_0xa75370;
T_43 ;
T_43 ;
    %vpi_call 2 82 "$readmemh", "instructions_test.hex", v0x130ec40 {0 0};
    %set/v v0xa759a0_0, 0, 32;
    %vpi_call 2 83 "$display", "instructions_test.hex read" {0 0};
T_43.0 ;
 
    %load/v 8, v0xa759a0_0, 32;
 
    %movi 40, 65536, 32;
 
    %cmp/s 8, 40, 32;
 
    %jmp/0xz T_43.1, 5;
 
    %movi 8, 165, 8;
 
    %ix/getv/s 3, v0xa759a0_0;
 
    %jmp/1 t_1, 4;
 
    %ix/load 1, 0, 0;
 
    %set/av v0xa75b20, 8, 8;
 
t_1 ;
 
    %ix/load 0, 1, 0;
 
    %load/vp0/s 8, v0xa759a0_0, 32;
 
    %set/v v0xa759a0_0, 8, 32;
 
    %jmp T_43.0;
 
T_43.1 ;
 
    %movi 8, 142, 8;
 
    %ix/load 1, 0, 0;
 
    %ix/load 3, 4096, 0;
 
    %set/av v0xa75b20, 8, 8;
 
    %movi 8, 1, 8;
 
    %ix/load 1, 0, 0;
 
    %ix/load 3, 4097, 0;
 
    %set/av v0xa75b20, 8, 8;
 
    %ix/load 1, 0, 0;
 
    %ix/load 3, 4098, 0;
 
    %set/av v0xa75b20, 0, 8;
 
    %movi 8, 191, 8;
 
    %ix/load 1, 0, 0;
 
    %ix/load 3, 4099, 0;
 
    %set/av v0xa75b20, 8, 8;
 
    %movi 8, 1, 8;
 
    %ix/load 1, 0, 0;
 
    %ix/load 3, 4100, 0;
 
    %set/av v0xa75b20, 8, 8;
 
    %movi 8, 2, 8;
 
    %ix/load 1, 0, 0;
 
    %ix/load 3, 4101, 0;
 
    %set/av v0xa75b20, 8, 8;
 
    %movi 8, 189, 8;
 
    %ix/load 1, 0, 0;
 
    %ix/load 3, 4102, 0;
 
    %set/av v0xa75b20, 8, 8;
 
    %movi 8, 16, 8;
 
    %ix/load 1, 0, 0;
 
    %ix/load 3, 4103, 0;
 
    %set/av v0xa75b20, 8, 8;
 
    %movi 8, 16, 8;
 
    %ix/load 1, 0, 0;
 
    %ix/load 3, 4104, 0;
 
    %set/av v0xa75b20, 8, 8;
 
    %movi 8, 32, 8;
 
    %ix/load 1, 0, 0;
 
    %ix/load 3, 4105, 0;
 
    %set/av v0xa75b20, 8, 8;
 
    %movi 8, 254, 8;
 
    %ix/load 1, 0, 0;
 
    %ix/load 3, 4106, 0;
 
    %set/av v0xa75b20, 8, 8;
 
    %movi 8, 193, 8;
 
    %ix/load 1, 0, 0;
 
    %ix/load 3, 4107, 0;
 
    %set/av v0xa75b20, 8, 8;
 
    %movi 8, 16, 8;
 
    %ix/load 1, 0, 0;
 
    %ix/load 3, 4108, 0;
 
    %set/av v0xa75b20, 8, 8;
 
    %movi 8, 38, 8;
 
    %ix/load 1, 0, 0;
 
    %ix/load 3, 4109, 0;
 
    %set/av v0xa75b20, 8, 8;
 
    %movi 8, 247, 8;
 
    %ix/load 1, 0, 0;
 
    %ix/load 3, 4110, 0;
 
    %set/av v0xa75b20, 8, 8;
 
    %movi 8, 76, 8;
 
    %ix/load 1, 0, 0;
 
    %ix/load 3, 4111, 0;
 
    %set/av v0xa75b20, 8, 8;
 
    %movi 8, 79, 8;
 
    %ix/load 1, 0, 0;
 
    %ix/load 3, 4112, 0;
 
    %set/av v0xa75b20, 8, 8;
 
    %movi 8, 95, 8;
 
    %ix/load 1, 0, 0;
 
    %ix/load 3, 4113, 0;
 
    %set/av v0xa75b20, 8, 8;
 
    %movi 8, 57, 8;
 
    %ix/load 1, 0, 0;
 
    %ix/load 3, 4114, 0;
 
    %set/av v0xa75b20, 8, 8;
 
    %movi 8, 32, 8;
 
    %ix/load 1, 0, 0;
 
    %ix/load 3, 65520, 0;
 
    %set/av v0xa75b20, 8, 8;
 
    %ix/load 1, 0, 0;
 
    %ix/load 3, 65521, 0;
 
    %set/av v0xa75b20, 0, 8;
 
    %movi 8, 32, 8;
 
    %ix/load 1, 0, 0;
 
    %ix/load 3, 65522, 0;
 
    %set/av v0xa75b20, 8, 8;
 
    %movi 8, 2, 8;
 
    %ix/load 1, 0, 0;
 
    %ix/load 3, 65523, 0;
 
    %set/av v0xa75b20, 8, 8;
 
    %movi 8, 32, 8;
 
    %ix/load 1, 0, 0;
 
    %ix/load 3, 65524, 0;
 
    %set/av v0xa75b20, 8, 8;
 
    %movi 8, 4, 8;
 
    %ix/load 1, 0, 0;
 
    %ix/load 3, 65525, 0;
 
    %set/av v0xa75b20, 8, 8;
 
    %movi 8, 32, 8;
 
    %ix/load 1, 0, 0;
 
    %ix/load 3, 65526, 0;
 
    %set/av v0xa75b20, 8, 8;
 
    %movi 8, 6, 8;
 
    %ix/load 1, 0, 0;
 
    %ix/load 3, 65527, 0;
 
    %set/av v0xa75b20, 8, 8;
 
    %movi 8, 32, 8;
 
    %ix/load 1, 0, 0;
 
    %ix/load 3, 65528, 0;
 
    %set/av v0xa75b20, 8, 8;
 
    %movi 8, 8, 8;
 
    %ix/load 1, 0, 0;
 
    %ix/load 3, 65529, 0;
 
    %set/av v0xa75b20, 8, 8;
 
    %movi 8, 32, 8;
 
    %ix/load 1, 0, 0;
 
    %ix/load 3, 65530, 0;
 
    %set/av v0xa75b20, 8, 8;
 
    %movi 8, 10, 8;
 
    %ix/load 1, 0, 0;
 
    %ix/load 3, 65531, 0;
 
    %set/av v0xa75b20, 8, 8;
 
    %movi 8, 32, 8;
 
    %ix/load 1, 0, 0;
 
    %ix/load 3, 65532, 0;
 
    %set/av v0xa75b20, 8, 8;
 
    %movi 8, 12, 8;
 
    %ix/load 1, 0, 0;
 
    %ix/load 3, 65533, 0;
 
    %set/av v0xa75b20, 8, 8;
 
    %movi 8, 16, 8;
    %ix/load 1, 0, 0;
    %ix/load 1, 0, 0;
    %ix/load 3, 65534, 0;
    %ix/load 3, 65534, 0;
    %set/av v0x130ec40, 0, 8;
    %set/av v0xa75b20, 8, 8;
    %ix/load 1, 0, 0;
    %ix/load 1, 0, 0;
    %ix/load 3, 65535, 0;
    %ix/load 3, 65535, 0;
    %set/av v0x130ec40, 0, 8;
    %set/av v0xa75b20, 0, 8;
    %end;
    %end;
    .thread T_43;
    .thread T_43;
    .scope S_0x12e5f60;
    .scope S_0xa4cca0;
T_44 ;
T_44 ;
    %delay 5, 0;
    %delay 5, 0;
    %load/v 8, v0x170f420_0, 1;
    %load/v 8, v0xe76300_0, 1;
    %inv 8, 1;
    %inv 8, 1;
    %set/v v0x170f420_0, 8, 1;
    %set/v v0xe76300_0, 8, 1;
    %jmp T_44;
    %jmp T_44;
    .thread T_44;
    .thread T_44;
    .scope S_0x12e5f60;
    .scope S_0xa4cca0;
T_45 ;
T_45 ;
    %vpi_call 2 34 "$dumpvars" {0 0};
    %vpi_call 2 34 "$dumpvars" {0 0};
    %set/v v0x170f420_0, 0, 1;
    %set/v v0xe76300_0, 0, 1;
    %set/v v0x170f890_0, 1, 1;
    %set/v v0xe76770_0, 1, 1;
    %delay 0, 0;
    %delay 0, 0;
    %delay 46, 0;
    %delay 46, 0;
    %set/v v0x170f890_0, 0, 1;
    %set/v v0xe76770_0, 0, 1;
    %delay 7000, 0;
    %delay 7000, 0;
    %vpi_call 2 41 "$finish" {0 0};
    %vpi_call 2 41 "$finish" {0 0};
    %end;
    %end;
    .thread T_45;
    .thread T_45;
# The file index is used to find the file name in the following table.
# The file index is used to find the file name in the following table.

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.