OpenCores
URL https://opencores.org/ocsvn/System09/System09/trunk

Subversion Repositories System09

[/] [System09/] [trunk/] [Tools/] [s19tovhd/] [Makefile] - Diff between revs 78 and 84

Only display areas with differences | Details | Blame | View Log

Rev 78 Rev 84
MKFRAGS := ../../mkfiles
MKFRAGS := ../../mkfiles
export MKFRAGS
export MKFRAGS
C_SRC := S19toVHD.cpp
C_SRC := S19toVHD.cpp
HEADERS :=
HEADERS :=
include $(MKFRAGS)/def_cmds.mk
include $(MKFRAGS)/def_cmds.mk
S19toVHD$(EXE_EXT): $(C_SRC) $(HEADERS)
S19toVHD$(EXE_EXT): $(C_SRC) $(HEADERS)
 
        @$(ECHO)
 
        @$(ECHO) "======= Making S19 to VHDL rom code generator ================"
        g++ -I. -DWIN32 -o $@ $<
        g++ -I. -DWIN32 -o $@ $<
clean:
clean:
        rm S19toVHD$(EXE_EXT)
        -rm -f S19toVHD$(EXE_EXT)
 
 
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.