OpenCores
URL https://opencores.org/ocsvn/System09/System09/trunk

Subversion Repositories System09

[/] [System09/] [trunk/] [rtl/] [System09_BurchED_B5-X300/] [System09_BurchED_B5-X300.vhd] - Diff between revs 66 and 108

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 66 Rev 108
Line 3... Line 3...
--  S Y N T H E Z I A B L E    System09 - SOC.
--  S Y N T H E Z I A B L E    System09 - SOC.
--
--
--  www.OpenCores.Org - September 2003
--  www.OpenCores.Org - September 2003
--  This core adheres to the GNU public license  
--  This core adheres to the GNU public license  
--
--
-- File name      : System09.vhd
-- File name      : System09_BurchED_B5-X300.vhd
--
--
-- Purpose        : Top level file for 6809 compatible system on a chip
-- Purpose        : Top level file for 6809 compatible system on a chip
--                  Designed with Xilinx XC2S300e Spartan 2+ FPGA.
--                  Designed with Xilinx XC2S300e Spartan 2+ FPGA.
--                  Implemented With BurchED B5-X300 FPGA board,
--                  Implemented With BurchED B5-X300 FPGA board,
--                  B5-SRAM module, B5-CF module and B5-FPGA-CPU-IO module
--                  B5-SRAM module, B5-CF module and B5-FPGA-CPU-IO module
Line 17... Line 17...
--                  ieee.std_logic_arith
--                  ieee.std_logic_arith
--                  ieee.numeric_std
--                  ieee.numeric_std
--
--
-- Uses           : 
-- Uses           : 
--                  cpu09      (cpu09.vhd)      CPU core
--                  cpu09      (cpu09.vhd)      CPU core
--                  mon_rom    (sys09bug_rom2k_b4.vhd) Monitor ROM
--                  SYS09BUG_F800 (sys09b5x_b4.vhd) Monitor ROM
--                  dat_ram    (datram.vhd)     Dynamic Address Translation
--                  dat_ram    (datram.vhd)     Dynamic Address Translation
--                  acia_6850  (ACIA_6850.vhd) ACIA / MiniUART
--                  acia6850      (acia6850.vhd) ACIA / MiniUART
--                             (ACIA_RX.vhd)
 
--                             (ACIA_TX.vhd)
 
--                  ACIA_Clock (ACIA_Clock.vhd) ACIA Baud Clock Divider
--                  ACIA_Clock (ACIA_Clock.vhd) ACIA Baud Clock Divider
--                  keyboard   (keyboard.vhd)   PS/2 Keyboard Interface
--                  keyboard   (keyboard.vhd)   PS/2 Keyboard Interface
--                  vdu8       (vdu8.vhd)       80 x 25 Video Display
--                  vdu8       (vdu8.vhd)       80 x 25 Video Display
--                  timer      (timer.vhd)      Timer module
--                  timer      (timer.vhd)      Timer module
--                  trap            (trap.vhd)       Bus Trap interrupt
--                  trap            (trap.vhd)       Bus Trap interrupt
--                  ioport     (ioport.vhd)     Parallel I/O port.
--                  ioport     (ioport.vhd)     Parallel I/O port.
-- 
-- 
-- Author         : John E. Kent      
-- Author         : John E. Kent      
--                  dilbert57@opencores.org      
--                  dilbert57@opencores.org      
--      Memory Map     :
--      Memory Map     :
 
-- Memory Map     :
 
--
 
-- $0000 - $DFFF System RAM (256K Mapped via DAT)
-- $E000 - ACIA (SWTPc)
-- $E000 - ACIA (SWTPc)
-- $E010 - Reserved for FD1771 FDC (SWTPc)
-- $E010 - Reserved for SWTPc FD-01 FD1771 FDC
-- $E020 - Keyboard
-- $E020 - Keyboard
-- $E030 - VDU
-- $E030 - VDU
-- $E040 - Compact Flash
-- $E040 - Reserved for SWTPc MP-T (was Compact Flash)
-- $E050 - Timer
-- $E050 - Timer
-- $E060 - Bus trap
-- $E060 - Bus Trap (Hardware Breakpoint Interrupt Logic)
-- $E070 - Parallel I/O
-- $E070 - Parallel I/O
-- $E080 - Reserved for 6821 PIA (?) (SWTPc)
-- $E080 - Reserved for SWTPc MP-ID 6821 PIA (?)
-- $E090 - Reserved for 6840 PTM (?) (SWTPc)
-- $E090 - Reserved for SWTPc MP-ID 6840 PTM (?)
 
-- $E0A0 - Reserved SPP Printer Port
 
-- $E0B0 - Reserved
 
-- $E0C0 - Reserved
 
-- $E100 - $E13F IDE / Compact Flash Card
 
-- $E140 - $E17F Reserved for Ethernet MAC (XESS)
 
-- $E180 - $E1BF Reserved for Expansion Slot 0 (XESS)
 
-- $E1C0 - $E1FF Reserved for Expansion Slot 1 (XESS)
 
-- $E200 - $EFFF Dual Port RAM interface
 
-- $F000 - $F7FF Reserved SWTPc DMAF-2
 
-- $F800 - $FFFF Sys09bug ROM (Read only)
 
-- $FFF0 - $FFFF DAT - Dynamic Address Translation (Write Only)
--
--
--===========================================================================----
--===========================================================================----
--
--
-- Revision History:
-- Revision History:
--===========================================================================--
--===========================================================================--
Line 107... Line 119...
-- Added 4 wait states to CF access
-- Added 4 wait states to CF access
-- Removed DAT memory map control of ROM & IO
-- Removed DAT memory map control of ROM & IO
-- to allow for full use of RAM as a RAM disk.
-- to allow for full use of RAM as a RAM disk.
-- Mapped in all 16 bits of the CF data bus.
-- Mapped in all 16 bits of the CF data bus.
-- 
-- 
 
-- Version 1.8 - 23rd February 2009 - John Kent
 
-- Renamed mon_rom to SYS09BUG_F800
 
--
 
-- Version 1.9 - 5th sepember 2010 - John Kent
 
-- Added Peripheral bus interface
 
-- Made the clock divider an external module
 
-- Rearranged VDU generic signals
 
-- Changed address decoding
 
-- Made the SRAM an external module
 
-- 
--===========================================================================
--===========================================================================
--
--
library ieee;
library ieee;
   use ieee.std_logic_1164.all;
   use ieee.std_logic_1164.all;
   use IEEE.STD_LOGIC_ARITH.ALL;
   use IEEE.STD_LOGIC_ARITH.ALL;
Line 119... Line 141...
library unisim;
library unisim;
        use unisim.vcomponents.all;
        use unisim.vcomponents.all;
 
 
entity System09 is
entity System09 is
  port(
  port(
    SysClk      : in  Std_Logic;  -- System Clock input
    clk_in      : in  Std_Logic;  -- System Clock input
         Reset_n     : in  Std_logic;  -- Master Reset input (active low)
         rst_n       : in  Std_logic;  -- Master Reset input (active low)
    LED         : out std_logic;  -- Diagnostic LED Flasher
    LED         : out std_logic;  -- Diagnostic LED Flasher
 
 
    -- Memory Interface signals
    -- Memory Interface signals
    ram_csn     : out Std_Logic;
    ram_csn     : out Std_Logic;
    ram_wrln    : out Std_Logic;
    ram_wrln    : out Std_Logic;
Line 176... Line 198...
         bus_clk      : out std_logic;
         bus_clk      : out std_logic;
         bus_reset    : out std_logic;
         bus_reset    : out std_logic;
         bus_rw       : out std_logic;
         bus_rw       : out std_logic;
         bus_cs       : out std_logic;
         bus_cs       : out std_logic;
    bus_addr     : out std_logic_vector(15 downto 0);
    bus_addr     : out std_logic_vector(15 downto 0);
         bus_data     : inout std_logic_vector(7 downto 0);
         bus_data     : inout std_logic_vector(7 downto 0)
 
 
-- timer
 
    timer_out    : out std_logic
 
         );
         );
end System09;
end System09;
 
 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Architecture for System09
-- Architecture for System09
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
architecture rtl of System09 is
architecture rtl of System09 is
  -----------------------------------------------------------------------------
  -----------------------------------------------------------------------------
  -- constants
  -- constants
  -----------------------------------------------------------------------------
  -----------------------------------------------------------------------------
  constant SYS_Clock_Frequency  : integer := 50000000;  -- FPGA System Clock
  constant SYS_CLK_FREQ  : integer := 50000000;  -- FPGA System Clock
  constant PIX_Clock_Frequency  : integer := 25000000;  -- VGA Pixel Clock
  constant VGA_CLK_FREQ  : integer := 25000000;  -- VGA Pixel Clock
  constant CPU_Clock_Frequency  : integer := 12500000;  -- CPU Clock
  constant CPU_CLK_FREQ  : integer := 12500000;  -- CPU Clock
  constant BAUD_Rate            : integer := 57600;       -- Baud Rate
  constant BAUD_RATE     : integer := 57600;      -- Baud Rate
  constant ACIA_Clock_Frequency : integer := BAUD_Rate * 16;
  constant ACIA_CLK_FREQ : integer := BAUD_RATE * 16;
 
 
  type hold_state_type is ( hold_release_state, hold_request_state );
  type hold_state_type is ( hold_release_state, hold_request_state );
 
 
  -----------------------------------------------------------------------------
  -----------------------------------------------------------------------------
  -- Signals
  -- Signals
  -----------------------------------------------------------------------------
  -----------------------------------------------------------------------------
 
  -- Clock signals
 
  signal sys_clk       : std_logic;
 
  signal vga_clk       : std_logic;
 
 
 
  -- CPU Interface signals
 
  signal cpu_rst       : Std_Logic;
 
  signal cpu_clk       : Std_Logic;
 
  signal cpu_vma       : std_logic;
 
  signal cpu_addr      : std_logic_vector(15 downto 0);
 
  signal cpu_rw        : std_logic;
 
  signal cpu_data_in   : std_logic_vector(7 downto 0);
 
  signal cpu_data_out  : std_logic_vector(7 downto 0);
 
  signal cpu_firq      : std_logic;
 
  signal cpu_irq       : std_logic;
 
  signal cpu_nmi       : std_logic;
 
  signal cpu_halt      : std_logic;
 
  signal cpu_hold      : std_logic;
 
 
 
  -- Dynamic address translation
 
  signal dat_cs       : std_logic;
 
  signal dat_addr     : std_logic_vector(7 downto 0);
 
 
  -- Monitor ROM
  -- Monitor ROM
  signal rom_data_out  : Std_Logic_Vector(7 downto 0);
  signal rom_data_out  : Std_Logic_Vector(7 downto 0);
  signal rom_cs        : std_logic;
  signal rom_cs        : std_logic;
 
 
  -- UART Interface signals
  -- UART/ACIA Interface signals
  signal uart_data_out : Std_Logic_Vector(7 downto 0);
  signal uart_data_out : Std_Logic_Vector(7 downto 0);
  signal uart_cs       : Std_Logic;
  signal uart_cs       : Std_Logic;
  signal uart_irq      : Std_Logic;
  signal uart_irq      : Std_Logic;
  signal uart_clk       : Std_Logic;
  signal uart_clk       : Std_Logic;
  signal DCD_n         : Std_Logic;
  signal DCD_n         : Std_Logic;
 
 
  -- timer
  -- Keyboard port
  signal timer_data_out : std_logic_vector(7 downto 0);
  signal kbd_data_out  : std_logic_vector(7 downto 0);
  signal timer_cs    : std_logic;
  signal kbd_cs        : std_logic;
  signal timer_irq   : std_logic;
  signal kbd_irq       : std_logic;
 
 
 
  -- Video Display Unit
 
  signal vdu_cs        : std_logic;
 
  signal vdu_data_out  : std_logic_vector(7 downto 0);
 
  signal vga_red       : std_logic;
 
  signal vga_green     : std_logic;
 
  signal vga_blue      : std_logic;
 
 
  -- trap
  -- Timer
 
  signal tmr_data_out  : std_logic_vector(7 downto 0);
 
  signal tmr_cs        : std_logic;
 
  signal tmr_irq       : std_logic;
 
 
 
  -- Trap Hardware break point
  signal trap_cs         : std_logic;
  signal trap_cs         : std_logic;
  signal trap_data_out   : std_logic_vector(7 downto 0);
  signal trap_data_out   : std_logic_vector(7 downto 0);
  signal trap_irq        : std_logic;
  signal trap_irq        : std_logic;
 
 
  -- Parallel I/O port
  -- Parallel I/O port
  signal ioport_data_out : std_logic_vector(7 downto 0);
  signal pio_data_out  : std_logic_vector(7 downto 0);
  signal ioport_cs    : std_logic;
  signal pio_cs        : std_logic;
 
 
 
  -- Peripheral bus
 
  signal pb_data_out   : std_logic_vector(7 downto 0);
 
  signal pb_cs         : std_logic;
 
  signal pb_hold       : std_logic;
 
 
  -- compact flash port
  -- Compact Flash on peripheral bus
  signal cf_data_out : std_logic_vector(7 downto 0);
 
  signal cf_cs       : std_logic;
  signal cf_cs       : std_logic;
  signal cf_rd       : std_logic;
 
  signal cf_wr       : std_logic;
 
  signal cf_hold     : std_logic;
 
  signal cf_release  : std_logic;
 
  signal cf_count    : std_logic_vector(3 downto 0);
 
  signal cf_hold_state : hold_state_type;
 
 
 
  -- keyboard port
 
  signal keyboard_data_out : std_logic_vector(7 downto 0);
 
  signal keyboard_cs       : std_logic;
 
  signal keyboard_irq      : std_logic;
 
 
 
  -- RAM
  -- SRAM
  signal ram_cs      : std_logic; -- memory chip select
  signal ram_cs      : std_logic; -- memory chip select
  signal ram_wrl     : std_logic; -- memory write lower
 
  signal ram_wru     : std_logic; -- memory write upper
 
  signal ram_data_out    : std_logic_vector(7 downto 0);
  signal ram_data_out    : std_logic_vector(7 downto 0);
 
 
  -- CPU Interface signals
-----------------------------------------------------------------
  signal cpu_reset    : Std_Logic;
--
  signal cpu_clk      : Std_Logic;
--                     Clock generator
  signal cpu_rw       : std_logic;
--
  signal cpu_vma      : std_logic;
-----------------------------------------------------------------
  signal cpu_halt     : std_logic;
 
  signal cpu_hold     : std_logic;
 
  signal cpu_firq     : std_logic;
 
  signal cpu_irq      : std_logic;
 
  signal cpu_nmi      : std_logic;
 
  signal cpu_addr     : std_logic_vector(15 downto 0);
 
  signal cpu_data_in  : std_logic_vector(7 downto 0);
 
  signal cpu_data_out : std_logic_vector(7 downto 0);
 
 
 
  -- Dynamic address translation
component clock_div
  signal dat_cs       : std_logic;
  port(
  signal dat_addr     : std_logic_vector(7 downto 0);
    clk_in      : in  std_Logic;  -- System Clock input
 
         sys_clk     : out std_logic;  -- System Clock Out    (1/1)
 
         vga_clk     : out std_logic;  -- VGA Pixel Clock Out (1/2)
 
    cpu_clk     : out std_logic   -- CPU Clock Out       (1/4)
 
  );
 
end component;
 
 
  -- Video Display Unit
 
  signal pix_clk      : std_logic;
 
  signal vdu_cs       : std_logic;
 
  signal vdu_data_out : std_logic_vector(7 downto 0);
 
  signal vga_red      : std_logic;
 
  signal vga_green    : std_logic;
 
  signal vga_blue     : std_logic;
 
 
 
  -- Flashing Led test signals
-----------------------------------------------------------------
  signal countL      : std_logic_vector(23 downto 0);
--
  signal clock_div   : std_logic_vector(1 downto 0);
--                      LED Flasher
 
--
 
-----------------------------------------------------------------
 
 
 
component flasher
 
  port (
 
    clk      : in  std_logic;           -- Clock input
 
    rst      : in  std_logic;           -- Reset input (active high)
 
    LED      : out Std_Logic            -- LED output        
 
  );
 
end component;
 
 
 
------------------------------------------------------------
 
--
 
--           B5 SRAM interface ($0000 - $DFFF)
 
--
 
------------------------------------------------------------
 
component BED_SRAM
 
  port (
 
    --
 
    -- CPU Interface signals
 
    --
 
    clk       : in  std_logic;                     -- System Clock (twice the CPU clock)
 
    rst       : in  std_logic;                     -- Reset input (active high)
 
    cs        : in  std_logic;                     -- RAM Chip Select
 
    addr      : in  std_logic_vector(17 downto 0); -- RAM address bus
 
    rw        : in  std_logic;                     -- Read / Not Write
 
    data_in   : in  std_logic_vector(7 downto 0);  -- Data Bus In 
 
    data_out  : out std_logic_vector(7 downto 0);  -- Data Bus Out
 
    --
 
    -- BED_SRAM Interface Signals
 
    --
 
    ram_csn   : out   Std_Logic;
 
    ram_wrln  : out   Std_Logic;
 
    ram_wrun  : out   Std_Logic;
 
    ram_addr  : out   Std_Logic_Vector(16 downto 0);
 
    ram_data  : inout Std_Logic_Vector(15 downto 0)
 
 
 
    );
 
end component;
 
 
 
 
-----------------------------------------------------------------
-----------------------------------------------------------------
--
--
-- CPU09 CPU core
-- CPU09 CPU core
--
--
Line 287... Line 361...
 
 
component cpu09
component cpu09
  port (
  port (
         clk:        in std_logic;
         clk:        in std_logic;
    rst:      in        std_logic;
    rst:      in        std_logic;
    rw:      out        std_logic;              -- Asynchronous memory interface
 
    vma:             out        std_logic;
    vma:             out        std_logic;
    address:  out       std_logic_vector(15 downto 0);
    addr     : out std_logic_vector(15 downto 0);
 
    rw       :  out std_logic;          -- Asynchronous memory interface
    data_in:  in        std_logic_vector(7 downto 0);
    data_in:  in        std_logic_vector(7 downto 0);
         data_out: out std_logic_vector(7 downto 0);
         data_out: out std_logic_vector(7 downto 0);
         halt:     in  std_logic;
         halt:     in  std_logic;
         hold:     in  std_logic;
         hold:     in  std_logic;
         irq:      in  std_logic;
         irq:      in  std_logic;
         nmi:      in  std_logic;
         nmi:      in  std_logic;
         firq:     in  std_logic
         firq:     in  std_logic
  );
  );
end component;
end component;
 
 
 
 
----------------------------------------
----------------------------------------
--
--
-- SBUG Block RAM Monitor ROM
-- Dynamic Address Translation Registers
--
--
----------------------------------------
----------------------------------------
component mon_rom
component dat_ram
    port (
    port (
       clk   : in  std_logic;
       clk   : in  std_logic;
       rst   : in  std_logic;
       rst   : in  std_logic;
       cs    : in  std_logic;
       cs    : in  std_logic;
       rw    : in  std_logic;
       rw    : in  std_logic;
       addr  : in  std_logic_vector (10 downto 0);
         addr_lo:  in  std_logic_vector(3 downto 0);
       wdata : in  std_logic_vector (7 downto 0);
         addr_hi:  in  std_logic_vector(3 downto 0);
       rdata : out std_logic_vector (7 downto 0)
    data_in:  in  std_logic_vector(7 downto 0);
 
         data_out: out std_logic_vector(7 downto 0)
    );
    );
end component;
end component;
 
 
 
 
----------------------------------------
----------------------------------------
--
--
-- Dynamic Address Translation Registers
-- SBUG Block RAM Monitor ROM
--
--
----------------------------------------
----------------------------------------
component dat_ram
component SYS09BUG_F800
  port (
  port (
    clk:      in  std_logic;
    clk:      in  std_logic;
         rst:      in  std_logic;
         rst:      in  std_logic;
         cs:       in  std_logic;
         cs:       in  std_logic;
 
       addr     : in  std_logic_vector (10 downto 0);
         rw:       in  std_logic;
         rw:       in  std_logic;
         addr_lo:  in  std_logic_vector(3 downto 0);
 
         addr_hi:  in  std_logic_vector(3 downto 0);
 
    data_in:  in  std_logic_vector(7 downto 0);
    data_in:  in  std_logic_vector(7 downto 0);
         data_out: out std_logic_vector(7 downto 0)
         data_out: out std_logic_vector(7 downto 0)
         );
         );
end component;
end component;
 
 
Line 343... Line 415...
--
--
-- 6850 ACIA/UART
-- 6850 ACIA/UART
--
--
-----------------------------------------------------------------
-----------------------------------------------------------------
 
 
component ACIA_6850
component acia6850
  port (
  port (
     clk      : in  Std_Logic;  -- System Clock
     clk      : in  Std_Logic;  -- System Clock
     rst      : in  Std_Logic;  -- Reset input (active high)
     rst      : in  Std_Logic;  -- Reset input (active high)
     cs       : in  Std_Logic;  -- miniUART Chip Select
     cs       : in  Std_Logic;  -- miniUART Chip Select
 
     addr     : in  Std_Logic;  -- Register Select
     rw       : in  Std_Logic;  -- Read / Not Write
     rw       : in  Std_Logic;  -- Read / Not Write
 
     data_in  : in  Std_Logic_Vector(7 downto 0); -- Data Bus In 
 
     data_out : out Std_Logic_Vector(7 downto 0); -- Data Bus Out
     irq      : out Std_Logic;  -- Interrupt
     irq      : out Std_Logic;  -- Interrupt
     Addr     : in  Std_Logic;  -- Register Select
 
     DataIn   : in  Std_Logic_Vector(7 downto 0); -- Data Bus In 
 
     DataOut  : out Std_Logic_Vector(7 downto 0); -- Data Bus Out
 
     RxC      : in  Std_Logic;  -- Receive Baud Clock
     RxC      : in  Std_Logic;  -- Receive Baud Clock
     TxC      : in  Std_Logic;  -- Transmit Baud Clock
     TxC      : in  Std_Logic;  -- Transmit Baud Clock
     RxD      : in  Std_Logic;  -- Receive Data
     RxD      : in  Std_Logic;  -- Receive Data
     TxD      : out Std_Logic;  -- Transmit Data
     TxD      : out Std_Logic;  -- Transmit Data
          DCD_n    : in  Std_Logic;  -- Data Carrier Detect
          DCD_n    : in  Std_Logic;  -- Data Carrier Detect
Line 370... Line 442...
--
--
-----------------------------------------------------------------
-----------------------------------------------------------------
 
 
component ACIA_Clock
component ACIA_Clock
  generic (
  generic (
     SYS_Clock_Frequency  : integer :=  SYS_Clock_Frequency;
     SYS_CLK_FREQ  : integer :=  SYS_CLK_FREQ;
          ACIA_Clock_Frequency : integer := ACIA_Clock_Frequency
          ACIA_CLK_FREQ : integer := ACIA_CLK_FREQ
  );
  );
  port (
  port (
     clk      : in  Std_Logic;  -- System Clock Input
     clk      : in  Std_Logic;  -- System Clock Input
          ACIA_clk : out Std_logic   -- ACIA Clock output
          ACIA_clk : out Std_logic   -- ACIA Clock output
  );
  );
end component;
end component;
 
 
----------------------------------------
----------------------------------------
--
--
-- Timer module
-- PS/2 Keyboard
--
--
----------------------------------------
----------------------------------------
 
 
component timer
component keyboard
 
  generic(
 
    KBD_CLK_FREQ : integer := CPU_CLK_FREQ
 
  );
  port (
  port (
     clk       : in std_logic;
     clk       : in std_logic;
     rst       : in std_logic;
     rst       : in std_logic;
     cs        : in std_logic;
     cs        : in std_logic;
     rw        : in std_logic;
     rw        : in std_logic;
     addr      : in std_logic;
     addr      : in std_logic;
     data_in   : in std_logic_vector(7 downto 0);
     data_in   : in std_logic_vector(7 downto 0);
          data_out  : out std_logic_vector(7 downto 0);
          data_out  : out std_logic_vector(7 downto 0);
          irq       : out std_logic;
          irq       : out std_logic;
     timer_in  : in std_logic;
    kbd_clk         : inout std_logic;
          timer_out : out std_logic
    kbd_data        : inout std_logic
 
  );
 
end component;
 
 
 
----------------------------------------
 
--
 
-- Video Display Unit.
 
--
 
----------------------------------------
 
component vdu8
 
  generic(
 
    VGA_CLK_FREQ           : integer := VGA_CLK_FREQ; -- 25MHz
 
         VGA_HOR_CHARS          : integer := 80; -- CHARACTERS 25.6us
 
         VGA_HOR_CHAR_PIXELS    : integer := 8;  -- PIXELS 0.32us
 
         VGA_HOR_FRONT_PORCH    : integer := 16; -- PIXELS 0.64us (0.94us)
 
         VGA_HOR_SYNC           : integer := 96; -- PIXELS 3.84us (3.77us)
 
         VGA_HOR_BACK_PORCH     : integer := 48; -- PIXELS 1.92us (1.89us)
 
         VGA_VER_CHARS          : integer := 25; -- CHARACTERS 12.8ms
 
         VGA_VER_CHAR_LINES     : integer := 16; -- LINES 0.512ms
 
         VGA_VER_FRONT_PORCH    : integer := 10; -- LINES 0.320ms
 
         VGA_VER_SYNC           : integer := 2;  -- LINES 0.064ms
 
         VGA_VER_BACK_PORCH     : integer := 34  -- LINES 1.088ms
 
  );
 
  port(
 
    -- control register interface
 
    vdu_clk      : in  std_logic;        -- CPU Clock - 12.5MHz
 
    vdu_rst      : in  std_logic;
 
    vdu_cs       : in  std_logic;
 
    vdu_rw       : in  std_logic;
 
    vdu_addr     : in  std_logic_vector(2 downto 0);
 
    vdu_data_in  : in  std_logic_vector(7 downto 0);
 
    vdu_data_out : out std_logic_vector(7 downto 0);
 
 
 
    -- vga port connections
 
    vga_clk      : in  std_logic;       -- VGA Pixel Clock - 25 MHz
 
    vga_red_o    : out std_logic;
 
    vga_green_o  : out std_logic;
 
    vga_blue_o   : out std_logic;
 
    vga_hsync_o  : out std_logic;
 
    vga_vsync_o  : out std_logic
 
  );
 
end component;
 
 
 
----------------------------------------
 
--
 
-- Timer module
 
--
 
----------------------------------------
 
 
 
component timer
 
  port (
 
    clk       : in std_logic;
 
    rst       : in std_logic;
 
    cs        : in std_logic;
 
    addr      : in std_logic;
 
    rw        : in std_logic;
 
    data_in   : in std_logic_vector(7 downto 0);
 
         data_out  : out std_logic_vector(7 downto 0);
 
         irq       : out std_logic
          );
          );
end component;
end component;
 
 
------------------------------------------------------------
------------------------------------------------------------
--
--
Line 439... Line 572...
         porta_io  : inout std_logic_vector(7 downto 0);
         porta_io  : inout std_logic_vector(7 downto 0);
         portb_io  : inout std_logic_vector(7 downto 0)
         portb_io  : inout std_logic_vector(7 downto 0)
         );
         );
end component;
end component;
 
 
----------------------------------------
------------------------------------------------------------
--
--
-- PS/2 Keyboard
-- Peripheral Bus interface (IDE CF) ($E100 - $E1FF)
--
--
----------------------------------------
------------------------------------------------------------
 
 
component keyboard
component peripheral_bus is
  generic(
 
  KBD_Clock_Frequency : integer := CPU_Clock_Frequency
 
  );
 
  port(
  port(
  clk             : in    std_logic;
 
  rst             : in    std_logic;
 
  cs              : in    std_logic;
 
  rw              : in    std_logic;
 
  addr            : in    std_logic;
 
  data_in         : in    std_logic_vector(7 downto 0);
 
  data_out        : out   std_logic_vector(7 downto 0);
 
  irq             : out   std_logic;
 
  kbd_clk         : inout std_logic;
 
  kbd_data        : inout std_logic
 
  );
 
end component;
 
 
 
----------------------------------------
 
--
--
-- Video Display Unit.
    -- CPU Interface signals
--
--
----------------------------------------
    clk      : in  std_logic;                     -- System Clock
component vdu8
    rst      : in  std_logic;                     -- Reset input (active high)
      generic(
    cs       : in  std_logic;                     -- Peripheral Bus Chip Select
        VDU_CLOCK_FREQUENCY    : integer := CPU_Clock_Frequency; -- HZ
    addr     : in  std_logic_vector(7 downto 0);  -- Register Select
        VGA_CLOCK_FREQUENCY    : integer := PIX_Clock_Frequency; -- HZ
    rw       : in  std_logic;                     -- Read / Not Write
             VGA_HOR_CHARS          : integer := 80; -- CHARACTERS
    data_in  : in  std_logic_vector(7 downto 0);  -- Data Bus In 
             VGA_VER_CHARS          : integer := 25; -- CHARACTERS
    data_out : out std_logic_vector(7 downto 0);  -- Data Bus Out
             VGA_PIXELS_PER_CHAR    : integer := 8;  -- PIXELS
    hold     : out std_logic;                     -- Hold bus cycle output
             VGA_LINES_PER_CHAR     : integer := 16; -- LINES
    --
             VGA_HOR_BACK_PORCH     : integer := 40; -- PIXELS
    -- Peripheral Bus Interface Signals
             VGA_HOR_SYNC           : integer := 96; -- PIXELS
    -- IO + ($00 - $FF) 
             VGA_HOR_FRONT_PORCH    : integer := 24; -- PIXELS
    -- (for compatibility with XSA-3S1000 / XST 3.0)
             VGA_VER_BACK_PORCH     : integer := 13; -- LINES
    --
             VGA_VER_SYNC           : integer := 1;  -- LINES
    pb_rd_n  : out   std_logic; -- ide pin 25
             VGA_VER_FRONT_PORCH    : integer := 36  -- LINES
    pb_wr_n  : out   std_logic; -- ide pin 23
      );
    pb_addr  : out   std_logic_vector( 4 downto 0);
      port(
    pb_data  : inout std_logic_vector(15 downto 0);
                -- control register interface
 
      vdu_clk      : in  std_logic;      -- CPU Clock - 12.5MHz
    -- Peripheral chip selects on Peripheral Bus 
      vdu_rst      : in  std_logic;
    ide_cs   : out  std_logic;  -- IDE / CF interface ($00 - $3F)
                vdu_cs       : in  std_logic;
    eth_cs   : out  std_logic;  -- Ethernet interface ($40 - $7F)
                vdu_rw       : in  std_logic;
    sl1_cs   : out  std_logic;  -- Expansion slot 1   ($80 - $BF)
                vdu_addr     : in  std_logic_vector(2 downto 0);
    sl2_cs   : out  std_logic   -- Expansion slot 2   ($C0 - $FF)
      vdu_data_in  : in  std_logic_vector(7 downto 0);
 
      vdu_data_out : out std_logic_vector(7 downto 0);
 
 
 
      -- vga port connections
 
                vga_clk      : in  std_logic;   -- VGA Pixel Clock - 25 MHz
 
      vga_red_o    : out std_logic;
 
      vga_green_o  : out std_logic;
 
      vga_blue_o   : out std_logic;
 
      vga_hsync_o  : out std_logic;
 
      vga_vsync_o  : out std_logic
 
   );
   );
end component;
end component;
 
 
 
 
component BUFG
component BUFG
Line 515... Line 621...
 
 
begin
begin
  -----------------------------------------------------------------------------
  -----------------------------------------------------------------------------
  -- Instantiation of internal components
  -- Instantiation of internal components
  -----------------------------------------------------------------------------
  -----------------------------------------------------------------------------
 
----------------------------------------
 
--
 
-- Clock generator
 
--
 
----------------------------------------
 
my_clock_div: clock_div port map (
 
    clk_in   => clk_in,   -- Clock input
 
    sys_clk  => sys_clk,  -- System Clock Out    (1/1)
 
    vga_clk  => vga_clk,  -- VGA Pixel Clock Out (1/2)
 
    cpu_clk  => cpu_clk   -- CPU Clock Out       (1/4)
 
  );
 
 
 
-----------------------------------------
 
--
 
-- LED Flasher
 
--
 
-----------------------------------------
 
 
 
my_LED_flasher : flasher port map (
 
    clk      => cpu_clk,
 
    rst      => cpu_rst,
 
    LED      => LED
 
  );
 
 
 
 
----------------------------------------
----------------------------------------
--
--
-- CPU09 CPU Core
-- CPU09 CPU Core
--
--
----------------------------------------
----------------------------------------
my_cpu : cpu09  port map (
my_cpu : cpu09  port map (
         clk         => cpu_clk,
         clk         => cpu_clk,
    rst       => cpu_reset,
    rst       => cpu_rst,
    rw       => cpu_rw,
 
    vma       => cpu_vma,
    vma       => cpu_vma,
    address   => cpu_addr(15 downto 0),
    addr      => cpu_addr(15 downto 0),
 
    rw       => cpu_rw,
    data_in   => cpu_data_in,
    data_in   => cpu_data_in,
         data_out  => cpu_data_out,
         data_out  => cpu_data_out,
         halt      => cpu_halt,
         halt      => cpu_halt,
         hold      => cpu_hold,
         hold      => cpu_hold,
         irq       => cpu_irq,
         irq       => cpu_irq,
Line 538... Line 668...
         firq      => cpu_firq
         firq      => cpu_firq
  );
  );
 
 
----------------------------------------
----------------------------------------
--
--
-- SBUG / KBUG / SYS09BUG Monitor ROM
 
--
 
----------------------------------------
 
my_rom : mon_rom port map (
 
       clk   => cpu_clk,
 
                 rst   => cpu_reset,
 
                 cs    => rom_cs,
 
                 rw    => '1',
 
       addr  => cpu_addr(10 downto 0),
 
                 wdata => cpu_data_out,
 
       rdata => rom_data_out
 
    );
 
 
 
----------------------------------------
 
--
 
-- Dynamic Address Translation Registers
-- Dynamic Address Translation Registers
--
--
----------------------------------------
----------------------------------------
my_dat : dat_ram port map (
my_dat : dat_ram port map (
    clk        => cpu_clk,
    clk        => cpu_clk,
         rst        => cpu_reset,
         rst        => cpu_rst,
         cs         => dat_cs,
         cs         => dat_cs,
         rw         => cpu_rw,
         rw         => cpu_rw,
         addr_hi    => cpu_addr(15 downto 12),
         addr_hi    => cpu_addr(15 downto 12),
         addr_lo    => cpu_addr(3 downto 0),
         addr_lo    => cpu_addr(3 downto 0),
    data_in    => cpu_data_out,
    data_in    => cpu_data_out,
         data_out   => dat_addr(7 downto 0)
         data_out   => dat_addr(7 downto 0)
         );
         );
 
 
----------------------------------------
----------------------------------------
--
--
 
-- SBUG / KBUG / SYS09BUG Monitor ROM
 
--
 
----------------------------------------
 
my_rom : SYS09BUG_F800 port map (
 
       clk      => cpu_clk,
 
                 rst      => cpu_rst,
 
                 cs       => rom_cs,
 
       addr     => cpu_addr(10 downto 0),
 
                 rw       => '1',
 
                 data_in  => cpu_data_out,
 
       data_out => rom_data_out
 
    );
 
 
 
----------------------------------------
 
--
-- ACIA/UART Serial interface
-- ACIA/UART Serial interface
--
--
----------------------------------------
----------------------------------------
my_ACIA  : ACIA_6850 port map (
my_acia  : acia6850 port map (
         clk         => cpu_clk,
         clk         => cpu_clk,
         rst       => cpu_reset,
         rst       => cpu_rst,
    cs        => uart_cs,
    cs        => uart_cs,
 
    addr      => cpu_addr(0),
         rw        => cpu_rw,
         rw        => cpu_rw,
 
         data_in   => cpu_data_out,
 
         data_out  => uart_data_out,
    irq       => uart_irq,
    irq       => uart_irq,
    Addr      => cpu_addr(0),
 
         Datain    => cpu_data_out,
 
         DataOut   => uart_data_out,
 
         RxC       => uart_clk,
         RxC       => uart_clk,
         TxC       => uart_clk,
         TxC       => uart_clk,
         RxD       => rxbit,
         RxD       => rxbit,
         TxD       => txbit,
         TxD       => txbit,
         DCD_n     => dcd_n,
         DCD_n     => dcd_n,
Line 597... Line 727...
-- ACIA Clock
-- ACIA Clock
--
--
----------------------------------------
----------------------------------------
my_ACIA_Clock : ACIA_Clock
my_ACIA_Clock : ACIA_Clock
  generic map(
  generic map(
    SYS_Clock_Frequency  => SYS_Clock_Frequency,
    SYS_CLK_FREQ  => SYS_CLK_FREQ,
         ACIA_Clock_Frequency => ACIA_Clock_Frequency
         ACIA_CLK_FREQ => ACIA_CLK_FREQ
  )
  )
  port map(
  port map(
    clk        => SysClk,
    clk        => sys_clk,
    acia_clk   => uart_clk
    acia_clk   => uart_clk
  );
  );
 
 
----------------------------------------
----------------------------------------
--
--
-- PS/2 Keyboard Interface
-- PS/2 Keyboard Interface
--
--
----------------------------------------
----------------------------------------
my_keyboard : keyboard
my_keyboard : keyboard
   generic map (
   generic map (
        KBD_Clock_Frequency => CPU_Clock_frequency
     KBD_CLK_FREQ => CPU_CLK_FREQ
        )
        )
   port map(
   port map(
        clk          => cpu_clk,
        clk          => cpu_clk,
        rst          => cpu_reset,
          rst          => cpu_rst,
        cs           => keyboard_cs,
          cs           => kbd_cs,
        rw           => cpu_rw,
 
        addr         => cpu_addr(0),
        addr         => cpu_addr(0),
 
          rw           => cpu_rw,
        data_in      => cpu_data_out(7 downto 0),
        data_in      => cpu_data_out(7 downto 0),
        data_out     => keyboard_data_out(7 downto 0),
          data_out     => kbd_data_out(7 downto 0),
        irq          => keyboard_irq,
          irq          => kbd_irq,
        kbd_clk      => kb_clock,
        kbd_clk      => kb_clock,
        kbd_data     => kb_data
        kbd_data     => kb_data
        );
        );
 
 
----------------------------------------
 
 
------------------------------------------------
--
--
-- Video Display Unit instantiation
-- Video Display Unit instantiation ($E030-$E03F)
--
--
----------------------------------------
-------------------------------------------------
my_vdu : vdu8
my_vdu : vdu8
  generic map(
  generic map(
      VDU_CLOCK_FREQUENCY    => CPU_Clock_Frequency, -- HZ
    VGA_CLK_FREQ           => VGA_CLK_FREQ, -- 25MHZ
      VGA_CLOCK_FREQUENCY    => PIX_Clock_Frequency, -- HZ
    VGA_HOR_CHARS          => 80, -- CHARACTERS 25.6us
           VGA_HOR_CHARS          => 80, -- CHARACTERS
    VGA_HOR_CHAR_PIXELS    => 8,  -- PIXELS 0.32us
           VGA_VER_CHARS          => 25, -- CHARACTERS
    VGA_HOR_FRONT_PORCH    => 16, -- PIXELS 0.64us
           VGA_PIXELS_PER_CHAR    => 8,  -- PIXELS
    VGA_HOR_SYNC           => 96, -- PIXELS 3.84us
           VGA_LINES_PER_CHAR     => 16, -- LINES
    VGA_HOR_BACK_PORCH     => 48, -- PIXELS 1.92us
           VGA_HOR_BACK_PORCH     => 40, -- PIXELS
    VGA_VER_CHARS          => 25, -- CHARACTERS 12.8ms
           VGA_HOR_SYNC           => 96, -- PIXELS
    VGA_VER_CHAR_LINES     => 16, -- LINES 0.512ms
           VGA_HOR_FRONT_PORCH    => 24, -- PIXELS
    VGA_VER_FRONT_PORCH    => 10, -- LINES 0.320ms
           VGA_VER_BACK_PORCH     => 13, -- LINES
    VGA_VER_SYNC           => 2,  -- LINES 0.064ms
           VGA_VER_SYNC           => 1,  -- LINES
    VGA_VER_BACK_PORCH     => 34  -- LINES 1.088ms
           VGA_VER_FRONT_PORCH    => 36  -- LINES
 
  )
  )
  port map(
  port map(
 
 
                -- Control Registers
    -- CPU Control Registers interface
                vdu_clk       => cpu_clk,                                        -- 12.5 MHz System Clock in
                vdu_clk       => cpu_clk,                                        -- 12.5 MHz System Clock in
      vdu_rst       => cpu_reset,
    vdu_rst       => cpu_rst,
                vdu_cs        => vdu_cs,
                vdu_cs        => vdu_cs,
                vdu_rw        => cpu_rw,
                vdu_rw        => cpu_rw,
                vdu_addr      => cpu_addr(2 downto 0),
                vdu_addr      => cpu_addr(2 downto 0),
                vdu_data_in   => cpu_data_out,
                vdu_data_in   => cpu_data_out,
                vdu_data_out  => vdu_data_out,
                vdu_data_out  => vdu_data_out,
 
 
      -- vga port connections
      -- vga port connections
      vga_clk       => pix_clk,                                  -- 25 MHz VDU pixel clock
    vga_clk       => vga_clk,                                    -- 25 MHz VDU pixel clock
      vga_red_o     => vga_red,
      vga_red_o     => vga_red,
      vga_green_o   => vga_green,
      vga_green_o   => vga_green,
      vga_blue_o    => vga_blue,
      vga_blue_o    => vga_blue,
      vga_hsync_o   => h_drive,
      vga_hsync_o   => h_drive,
      vga_vsync_o   => v_drive
      vga_vsync_o   => v_drive
Line 674... Line 804...
-- Timer Module
-- Timer Module
--
--
----------------------------------------
----------------------------------------
my_timer  : timer port map (
my_timer  : timer port map (
    clk       => cpu_clk,
    clk       => cpu_clk,
         rst       => cpu_reset,
         rst       => cpu_rst,
    cs        => timer_cs,
    cs        => tmr_cs,
         rw        => cpu_rw,
         rw        => cpu_rw,
    addr      => cpu_addr(0),
    addr      => cpu_addr(0),
         data_in   => cpu_data_out,
         data_in   => cpu_data_out,
         data_out  => timer_data_out,
         data_out  => tmr_data_out,
    irq       => timer_irq,
    irq       => tmr_irq
         timer_in  => CountL(5),
 
         timer_out => timer_out
 
    );
    );
 
 
----------------------------------------
----------------------------------------
--
--
-- Bus Trap Interrupt logic
-- Bus Trap Interrupt logic
--
--
----------------------------------------
----------------------------------------
my_trap : trap port map (
my_trap : trap port map (
         clk        => cpu_clk,
         clk        => cpu_clk,
    rst        => cpu_reset,
    rst        => cpu_rst,
    cs         => trap_cs,
    cs         => trap_cs,
    rw         => cpu_rw,
    rw         => cpu_rw,
         vma        => cpu_vma,
         vma        => cpu_vma,
    addr       => cpu_addr,
    addr       => cpu_addr,
    data_in    => cpu_data_out,
    data_in    => cpu_data_out,
Line 709... Line 837...
-- Parallel I/O Port
-- Parallel I/O Port
--
--
----------------------------------------
----------------------------------------
my_ioport  : ioport port map (
my_ioport  : ioport port map (
         clk       => cpu_clk,
         clk       => cpu_clk,
    rst       => cpu_reset,
    rst       => cpu_rst,
    cs        => ioport_cs,
    cs        => pio_cs,
    rw        => cpu_rw,
    rw        => cpu_rw,
    addr      => cpu_addr(1 downto 0),
    addr      => cpu_addr(1 downto 0),
    data_in   => cpu_data_out,
    data_in   => cpu_data_out,
         data_out  => ioport_data_out,
         data_out  => pio_data_out,
         porta_io  => porta,
         porta_io  => porta,
         portb_io  => portb
         portb_io  => portb
         );
         );
 
 
 
 
 
------------------------------------------------
 
--
 
-- 16 bit Peripheral Bus interface ($E100-$E1FF)
 
--
 
------------------------------------------------
 
my_pb : peripheral_bus port map (
--
--
-- 12.5 MHz CPU clock
    -- CPU Interface signals
 
    --
 
    clk       => cpu_clk,
 
    rst       => cpu_rst,
 
    cs        => pb_cs,
 
    addr      => cpu_addr(7 downto 0),
 
    rw        => cpu_rw,
 
    data_in   => cpu_data_out,
 
    data_out  => pb_data_out,
 
    hold      => pb_hold,
 
    --
 
    -- Peripheral Bus Interface Signals
 
    -- IO + ($00 - $FF) 
--
--
cpu_clk_buffer : BUFG port map(
    pb_rd_n   => cf_rd_n,
    i => clock_div(1),
    pb_wr_n   => cf_wr_n,
         o => cpu_clk
    pb_addr(2 downto 0) => cf_a,
 
    pb_addr(4 downto 3) => open,
 
    pb_data   => cf_d,
 
 
 
    -- Peripheral chip selects on Peripheral Bus 
 
    ide_cs    => cf_cs,
 
    eth_cs    => open,
 
    sl1_cs    => open,
 
    sl2_cs    => open
    );
    );
 
 
 
-----------------------------------------------
 
--
 
-- BED SRAM interface (256KBytes) ($0000-$DFFF)
 
--
 
-----------------------------------------------
 
my_bed_sram : BED_SRAM port map (
--
--
-- 25 MHz VGA Pixel clock
    -- CPU Interface signals
--
--
vga_clk_buffer : BUFG port map(
    clk       => vga_clk,                        -- VGA Clock (twice the CPU clock)
    i => clock_div(0),
    rst       => cpu_rst,                        -- Reset input (active high)
         o => pix_clk
    cs        => ram_cs,                         -- RAM Chip Select
 
    addr(17 downto 12) => dat_addr( 5 downto 0), -- High RAM address goes to the DAT
 
    addr(11 downto  0) => cpu_addr(11 downto 0), -- Low RAM address goes to the CPU
 
    rw        => cpu_rw,                         -- Read / Not Write
 
    data_in   => cpu_data_out,                   -- Data Bus In 
 
    data_out  => ram_data_out,                   -- Data Bus Out
 
    --
 
    -- BED_SRAM Interface Signals
 
    --
 
    ram_csn   => ram_csn,
 
    ram_wrln  => ram_wrln,
 
    ram_wrun  => ram_wrun,
 
    ram_addr  => ram_addr,
 
    ram_data  => ram_data
    );
    );
 
 
----------------------------------------------------------------------
----------------------------------------------------------------------
--
--
-- Process to decode memory map
-- Process to decode memory map
--
--
----------------------------------------------------------------------
----------------------------------------------------------------------
 
 
mem_decode: process( cpu_clk, Reset_n, dat_addr,
mem_decode: process( dat_addr,
                     cpu_addr, cpu_rw, cpu_vma,
                     cpu_addr, cpu_rw, cpu_vma,
                                              rom_data_out,
                                              rom_data_out,
                                                        ram_data_out,
 
                                              cf_data_out,
 
                                                   timer_data_out,
 
                                                        trap_data_out,
 
                                                        ioport_data_out,
 
                                                   uart_data_out,
                                                   uart_data_out,
                                                        keyboard_data_out,
                                                        kbd_data_out,
                                                        vdu_data_out,
                                                        vdu_data_out,
                                                        bus_data )
                                                   tmr_data_out,
variable decode_addr : std_logic_vector(4 downto 0);
                                                        trap_data_out,
 
                                                        pio_data_out,
 
                                                        bus_data,
 
                     pb_data_out,
 
                                                        ram_data_out )
begin
begin
    decode_addr := dat_addr(3 downto 0) & cpu_addr(11);
  rom_cs   <= '0';              -- read ROM
--    decode_addr := cpu_addr(15 downto 11);
  dat_cs   <= '0';              -- write DAT
 
  ram_cs   <= '0';
 
  uart_cs  <= '0';
 
  kbd_cs   <= '0';
 
  vdu_cs   <= '0';
 
  tmr_cs   <= '0';
 
  trap_cs  <= '0';
 
  pio_cs   <= '0';
 
  bus_cs   <= '0';
 
  pb_cs    <= '0';
 
 
 
  --
 
  -- ROM / DAT $FF00 - $FFFF
 
  -- 
    if cpu_addr( 15 downto 8 ) = "11111111" then
    if cpu_addr( 15 downto 8 ) = "11111111" then
                        cpu_data_in <= rom_data_out;
                        cpu_data_in <= rom_data_out;
                        rom_cs      <= cpu_vma;              -- read ROM
                        rom_cs      <= cpu_vma;              -- read ROM
                        dat_cs      <= cpu_vma;              -- write DAT
                        dat_cs      <= cpu_vma;              -- write DAT
                        ram_cs      <= '0';
 
                        uart_cs     <= '0';
 
                        cf_cs       <= '0';
 
                        timer_cs    <= '0';
 
                        trap_cs     <= '0';
 
                        ioport_cs   <= '0';
 
                        keyboard_cs <= '0';
 
                        vdu_cs      <= '0';
 
                        bus_cs      <= '0';
 
         else
         else
      case decode_addr is
    --
 
    -- Decode on 4K Byte boundaries
 
    --
 
    case dat_addr(3 downto 0) is
 
    when "1111" => -- $F000 - $FFFF
 
      if cpu_addr(11) = '1' then
           --
           --
                -- SBUG/KBUG/SYS09BUG Monitor ROM $F800 - $FFFF
                -- SBUG/KBUG/SYS09BUG Monitor ROM $F800 - $FFFF
                --
                --
                when "11111" => -- $F800 - $FFFF
 
                   cpu_data_in <= rom_data_out;
                   cpu_data_in <= rom_data_out;
                        rom_cs      <= cpu_vma;              -- read ROM
        rom_cs      <= cpu_vma;
                        dat_cs      <= '0';
      else
                        ram_cs      <= '0';
 
                        uart_cs     <= '0';
 
                        cf_cs       <= '0';
 
                        timer_cs    <= '0';
 
                        trap_cs     <= '0';
 
                        ioport_cs   <= '0';
 
                        keyboard_cs <= '0';
 
                        vdu_cs      <= '0';
 
                        bus_cs      <= '0';
 
 
 
      --
      --
                -- IO Devices $E000 - $E7FF
        -- SRAM $F000 - $F7FF
 
        -- Future use DMAF-2 Floppy Disk controller
 
        -- 
 
        cpu_data_in <= ram_data_out;
 
        ram_cs      <= cpu_vma;
 
      end if;
 
    --
 
    -- IO Devices $EXXX - $EXXX
 
    --
 
    when "1110" =>
 
      --
 
      -- Decode on 256 Byte boundaries
 
      -- IO device $E0XX - $E7XX
 
      --
 
      case cpu_addr(10 downto 8) is
 
      when "000" =>
 
         --
 
         -- Decode I/O Devices on 16 byte boundaries
 
         -- IO device $E00X - $E0FX
                --
                --
                when "11100" => -- $E000 - $E7FF
 
                        rom_cs    <= '0';
 
                   dat_cs    <= '0';
 
                        ram_cs    <= '0';
 
                   case cpu_addr(7 downto 4) is
                   case cpu_addr(7 downto 4) is
                        --
                        --
                        -- UART / ACIA $E000
                        -- UART / ACIA $E000
                        --
                        --
                        when "0000" => -- $E000
                        when "0000" => -- $E000
                     cpu_data_in <= uart_data_out;
                     cpu_data_in <= uart_data_out;
                          uart_cs     <= cpu_vma;
                          uart_cs     <= cpu_vma;
                          cf_cs       <= '0';
 
                          timer_cs    <= '0';
 
                          trap_cs     <= '0';
 
                          ioport_cs   <= '0';
 
                          keyboard_cs <= '0';
 
                          vdu_cs      <= '0';
 
                          bus_cs      <= '0';
 
 
 
                        --
                        --
                        -- WD1771 FDC sites at $E010-$E01F
                        -- WD1771 FDC sites at $E010-$E01F
                        --
                        --
 
 
         --
         --
         -- Keyboard port $E020 - $E02F
         -- Keyboard port $E020 - $E02F
                        --
                        --
                        when "0010" => -- $E020
                        when "0010" => -- $E020
           cpu_data_in <= keyboard_data_out;
           cpu_data_in <= kbd_data_out;
                          uart_cs     <= '0';
                          kbd_cs      <= cpu_vma;
                          cf_cs       <= '0';
 
           timer_cs    <= '0';
 
                          trap_cs     <= '0';
 
                          ioport_cs   <= '0';
 
                          keyboard_cs <= cpu_vma;
 
                          vdu_cs      <= '0';
 
                          bus_cs      <= '0';
 
 
 
         --
         --
         -- VDU port $E030 - $E03F
         -- VDU port $E030 - $E03F
                        --
                        --
                        when "0011" => -- $E030
                        when "0011" => -- $E030
           cpu_data_in <= vdu_data_out;
           cpu_data_in <= vdu_data_out;
                          uart_cs     <= '0';
 
                          cf_cs       <= '0';
 
           timer_cs    <= '0';
 
                          trap_cs     <= '0';
 
                          ioport_cs   <= '0';
 
                          keyboard_cs <= '0';
 
                          vdu_cs      <= cpu_vma;
                          vdu_cs      <= cpu_vma;
                          bus_cs      <= '0';
 
 
 
         --
         --
                        -- Compact Flash $E040 - $E04F
                        -- Reserved $E040 - $E04F
                        --
                        --
                        when "0100" => -- $E040
 
           cpu_data_in <= cf_data_out;
 
                          uart_cs     <= '0';
 
           cf_cs       <= cpu_vma;
 
                          timer_cs    <= '0';
 
                          trap_cs     <= '0';
 
                          ioport_cs   <= '0';
 
                          keyboard_cs <= '0';
 
                          vdu_cs      <= '0';
 
                          bus_cs      <= '0';
 
 
 
         --
         --
         -- Timer $E050 - $E05F
         -- Timer $E050 - $E05F
                        --
                        --
                        when "0101" => -- $E050
                        when "0101" => -- $E050
           cpu_data_in <= timer_data_out;
           cpu_data_in <= tmr_data_out;
                          uart_cs     <= '0';
           tmr_cs    <= cpu_vma;
                          cf_cs       <= '0';
 
           timer_cs    <= cpu_vma;
 
                          trap_cs     <= '0';
 
                          ioport_cs   <= '0';
 
                          keyboard_cs <= '0';
 
                          vdu_cs      <= '0';
 
                          bus_cs      <= '0';
 
 
 
         --
         --
         -- Bus Trap Logic $E060 - $E06F
         -- Bus Trap Logic $E060 - $E06F
                        --
                        --
                        when "0110" => -- $E060
                        when "0110" => -- $E060
           cpu_data_in <= trap_data_out;
           cpu_data_in <= trap_data_out;
                          uart_cs     <= '0';
 
                          cf_cs       <= '0';
 
           timer_cs    <= '0';
 
                          trap_cs     <= cpu_vma;
                          trap_cs     <= cpu_vma;
                          ioport_cs   <= '0';
 
                          keyboard_cs <= '0';
 
                          vdu_cs      <= '0';
 
                          bus_cs      <= '0';
 
 
 
         --
         --
         -- I/O port $E070 - $E07F
         -- Parallel I/O port $E070 - $E07F
                        --
                        --
                        when "0111" => -- $E070
                        when "0111" => -- $E070
           cpu_data_in <= ioport_data_out;
           cpu_data_in <= pio_data_out;
                          uart_cs     <= '0';
                          pio_cs       <= cpu_vma;
                          cf_cs       <= '0';
 
           timer_cs    <= '0';
 
                          trap_cs     <= '0';
 
                          ioport_cs   <= cpu_vma;
 
                          keyboard_cs <= '0';
 
                          vdu_cs      <= '0';
 
                          bus_cs      <= '0';
 
 
 
                        when others => -- $E080 to $E7FF
 
           cpu_data_in <= bus_data;
 
                          uart_cs     <= '0';
 
                          cf_cs       <= '0';
 
                          timer_cs    <= '0';
 
                          trap_cs     <= '0';
 
                          ioport_cs   <= '0';
 
                          keyboard_cs <= '0';
 
                          vdu_cs      <= '0';
 
                          bus_cs      <= cpu_vma;
 
                   end case;
 
                --
                --
                -- Everything else is RAM
         -- Undefined / Extension Bus $E080 - $E0FF
                --
                --
                when others =>
                        when others => -- $E080 to $E0FF
                  cpu_data_in <= ram_data_out;
           cpu_data_in <= bus_data;
                  rom_cs      <= '0';
           bus_cs      <= cpu_vma;
                  dat_cs      <= '0';
 
                  ram_cs      <= cpu_vma;
 
                  uart_cs     <= '0';
 
                  cf_cs       <= '0';
 
                  timer_cs    <= '0';
 
                  trap_cs     <= '0';
 
                  ioport_cs   <= '0';
 
                  keyboard_cs <= '0';
 
                  vdu_cs      <= '0';
 
                  bus_cs      <= '0';
 
                end case;
                end case;
        end if;
 
end process;
 
 
 
 
 
--
--
-- B5-SRAM Control
      -- Peripheral Bus $E100 - $E1FF
-- Processes to read and write memory based on bus signals
 
--
--
ram_process: process( cpu_clk, Reset_n,
      when "001" =>
                      cpu_addr, cpu_rw, cpu_vma, cpu_data_out,
        cpu_data_in <= pb_data_out;
                                               dat_addr,
        pb_cs       <= cpu_vma;
                      ram_cs, ram_wrl, ram_wru, ram_data_out )
 
begin
 
    ram_csn <= not( ram_cs and Reset_n );
 
         ram_wrl  <= (not cpu_addr(0)) and (not cpu_rw) and cpu_clk;
 
         ram_wrln <= not (ram_wrl);
 
    ram_wru  <= cpu_addr(0) and (not cpu_rw) and cpu_clk;
 
         ram_wrun <= not (ram_wru);
 
         ram_addr(16 downto 11) <= dat_addr(5 downto 0);
 
         ram_addr(10 downto 0) <= cpu_addr(11 downto 1);
 
 
 
    if ram_wrl = '1' then
 
                ram_data(7 downto 0) <= cpu_data_out;
 
         else
 
      ram_data(7 downto 0)  <= "ZZZZZZZZ";
 
         end if;
 
 
 
         if ram_wru = '1' then
 
                ram_data(15 downto 8) <= cpu_data_out;
 
         else
 
      ram_data(15 downto 8)  <= "ZZZZZZZZ";
 
    end if;
 
 
 
         if cpu_addr(0) = '1' then
 
      ram_data_out <= ram_data(15 downto 8);
 
         else
 
      ram_data_out <= ram_data(7 downto 0);
 
    end if;
 
end process;
 
 
 
--
--
-- Compact Flash Control
      -- Map RAM at $E200 - $EFFF Just in case we need driver space.
--
--
compact_flash: process( Reset_n,
      when others =>
                 cpu_addr, cpu_rw, cpu_vma, cpu_data_out,
         cpu_data_in <= ram_data_out;
                                          cf_cs, cf_rd, cf_wr, cf_d )
         ram_cs      <= cpu_vma;
begin
      end case;
         cf_rst_n  <= Reset_n;
 
         cf_cs0_n  <= not( cf_cs ) or cpu_addr(3);
 
         cf_cs1_n  <= not( cf_cs and cpu_addr(3));
 
         cf_wr     <= cf_cs and (not cpu_rw);
 
         cf_rd     <= cf_cs and cpu_rw;
 
         cf_wr_n   <= not cf_wr;
 
         cf_rd_n   <= not cf_rd;
 
         cf_a      <= cpu_addr(2 downto 0);
 
         if cf_wr = '1' then
 
           cf_d(7 downto 0)  <= cpu_data_out;
 
         cf_d(15 downto 8) <= (others => '0');
 
         else
 
           cf_d(7 downto 0)  <= (others => 'Z');
 
         cf_d(15 downto 8) <= (others => 'Z');
 
         end if;
 
         cf_data_out <= cf_d(7 downto 0);
 
end process;
 
 
 
--
--
-- Hold CF access       for a few cycles
    -- Everything else is RAM $0000 - $DFFF
--
--
cf_hold_proc: process( cpu_clk, Reset_n )
 
begin
 
    if Reset_n = '0' then
 
                 cf_release    <= '0';
 
                 cf_count      <= "0000";
 
            cf_hold_state <= hold_release_state;
 
         elsif cpu_clk'event and cpu_clk='0' then
 
            case cf_hold_state is
 
                 when hold_release_state =>
 
          cf_release <= '0';
 
                    if cf_cs = '1' then
 
                            cf_count      <= "0011";
 
                                 cf_hold_state <= hold_request_state;
 
                         end if;
 
 
 
                 when hold_request_state =>
 
                    cf_count <= cf_count - "0001";
 
                         if cf_count = "0000" then
 
             cf_release    <= '1';
 
                                 cf_hold_state <= hold_release_state;
 
                         end if;
 
       when others =>
       when others =>
                    null;
      cpu_data_in <= ram_data_out;
 
      ram_cs      <= cpu_vma;
       end case;
       end case;
         end if;
         end if;
end process;
end process;
 
 
--
--
-- Interrupts and other bus control signals
-- Interrupts and other bus control signals
--
--
interrupts : process( Reset_n,
interrupts : process( rst_n, pb_hold,
                                                         cf_cs, cf_hold, cf_release,
                      uart_irq, trap_irq, tmr_irq, kbd_irq )
                      uart_irq, trap_irq, timer_irq, keyboard_irq
 
                                                         )
 
begin
begin
    cf_hold   <= cf_cs and (not cf_release);
         cpu_rst   <= not rst_n; -- CPU reset is active high
         cpu_reset <= not Reset_n; -- CPU reset is active high
    cpu_irq   <= uart_irq or kbd_irq;
    cpu_irq   <= uart_irq or keyboard_irq;
 
         cpu_nmi   <= trap_irq;
         cpu_nmi   <= trap_irq;
         cpu_firq  <= timer_irq;
         cpu_firq  <= tmr_irq;
         cpu_halt  <= '0';
         cpu_halt  <= '0';
         cpu_hold  <= cf_hold;
         cpu_hold  <= pb_hold;
end process;
end process;
 
 
--
--
-- CPU bus signals
-- CPU bus signals
--
--
my_bus : process( cpu_clk, cpu_reset, cpu_rw, cpu_addr, cpu_data_out )
my_bus : process( cpu_clk, cpu_rst, cpu_rw, cpu_addr, cpu_data_out )
begin
begin
        bus_clk   <= cpu_clk;
        bus_clk   <= cpu_clk;
   bus_reset <= cpu_reset;
   bus_reset <= cpu_rst;
        bus_rw    <= cpu_rw;
        bus_rw    <= cpu_rw;
   bus_addr  <= cpu_addr;
   bus_addr  <= cpu_addr;
        if( cpu_rw = '1' ) then
        if( cpu_rw = '1' ) then
           bus_data <= "ZZZZZZZZ";
           bus_data <= (others => 'Z');
   else
   else
           bus_data <= cpu_data_out;
           bus_data <= cpu_data_out;
   end if;
   end if;
end process;
end process;
 
 
  --
  --
  -- flash led to indicate code is working
 
  --
 
my_LED_Flasher: process (cpu_clk, CountL )
 
begin
 
    if(cpu_clk'event and cpu_clk = '0') then
 
      countL <= countL + 1;
 
    end if;
 
         LED <= countL(23);
 
         dcd_n <= '0';
 
end process;
 
 
 
--
 
-- Clock divider
 
--
 
my_clock_divider: process( SysClk )
 
begin
 
        if SysClk'event and SysClk='0' then
 
                clock_div <= clock_div + "01";
 
        end if;
 
end process;
 
--
 
-- Assign VDU VGA colour output
-- Assign VDU VGA colour output
-- only 8 colours are handled.
-- only 8 colours are handled.
--
--
my_vga_out: process( vga_red, vga_green, vga_blue )
my_vga_out: process( vga_red, vga_green, vga_blue )
begin
begin
Line 1091... Line 1108...
      green_hi <= vga_green;
      green_hi <= vga_green;
      blue_lo  <= vga_blue;
      blue_lo  <= vga_blue;
      blue_hi  <= vga_blue;
      blue_hi  <= vga_blue;
end process;
end process;
 
 
 
--
 
-- CF card chip selects ($E100 - $E13F)
 
-- Located on peripheral bus
 
--
 
my_cf_decode: process( cpu_rst, cpu_addr, cf_cs )
 
begin
 
 
 
  cf_cs0_n  <= not( cf_cs and not cpu_addr(4));
 
  cf_cs1_n  <= not( cf_cs and     cpu_addr(4));
 
  cf_rst_n  <= not cpu_rst;
 
 
 
end process;
 
 
end rtl; --===================== End of architecture =======================--
end rtl; --===================== End of architecture =======================--
 
 
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.