OpenCores
URL https://opencores.org/ocsvn/System09/System09/trunk

Subversion Repositories System09

[/] [System09/] [trunk/] [rtl/] [System09_Digilent_3S200/] [System09_Digilent_3S200.vhd] - Diff between revs 66 and 94

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 66 Rev 94
Line 267... Line 267...
    clk      : in  std_logic;
    clk      : in  std_logic;
    rst      : in  std_logic;
    rst      : in  std_logic;
    cs       : in  std_logic;
    cs       : in  std_logic;
    rw       : in  std_logic;
    rw       : in  std_logic;
    addr     : in  std_logic_vector (11 downto 0);
    addr     : in  std_logic_vector (11 downto 0);
    rdata    : out std_logic_vector (7 downto 0);
    data_out    : out std_logic_vector (7 downto 0);
    wdata    : in  std_logic_vector (7 downto 0)
    data_in    : in  std_logic_vector (7 downto 0)
    );
    );
end component;
end component;
 
 
----------------------------------------
----------------------------------------
--
--
Line 285... Line 285...
    clk      : in  std_logic;
    clk      : in  std_logic;
    rst      : in  std_logic;
    rst      : in  std_logic;
    cs       : in  std_logic;
    cs       : in  std_logic;
    rw       : in  std_logic;
    rw       : in  std_logic;
    addr     : in  std_logic_vector (12 downto 0);
    addr     : in  std_logic_vector (12 downto 0);
    rdata    : out std_logic_vector (7 downto 0);
    data_out    : out std_logic_vector (7 downto 0);
    wdata    : in  std_logic_vector (7 downto 0)
    data_in    : in  std_logic_vector (7 downto 0)
    );
    );
end component;
end component;
 
 
----------------------------------------
----------------------------------------
--
--
Line 469... Line 469...
    clk       => cpu_clk,
    clk       => cpu_clk,
    rst       => cpu_reset,
    rst       => cpu_reset,
         cs        => rom_cs,
         cs        => rom_cs,
         rw        => '1',
         rw        => '1',
    addr      => cpu_addr(11 downto 0),
    addr      => cpu_addr(11 downto 0),
    rdata     => rom_data_out,
    data_out     => rom_data_out,
    wdata     => cpu_data_out
    data_in     => cpu_data_out
    );
    );
 
 
my_flex : flex_ram port map (
my_flex : flex_ram port map (
    clk       => cpu_clk,
    clk       => cpu_clk,
    rst       => cpu_reset,
    rst       => cpu_reset,
         cs        => flex_cs,
         cs        => flex_cs,
         rw        => cpu_rw,
         rw        => cpu_rw,
    addr      => cpu_addr(12 downto 0),
    addr      => cpu_addr(12 downto 0),
    rdata     => flex_data_out,
    data_out     => flex_data_out,
    wdata     => cpu_data_out
    data_in     => cpu_data_out
    );
    );
 
 
my_dat : dat_ram port map (
my_dat : dat_ram port map (
    clk       => cpu_clk,
    clk       => cpu_clk,
         rst       => cpu_reset,
         rst       => cpu_reset,

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.