OpenCores
URL https://opencores.org/ocsvn/System09/System09/trunk

Subversion Repositories System09

[/] [System09/] [trunk/] [rtl/] [System09_Digilent_Atlys/] [system09.prj] - Diff between revs 148 and 152

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 148 Rev 152
Line 1... Line 1...
vhdl work "common.vhd"
 
vhdl work "../VHDL/bit_funcs.vhd"
vhdl work "../VHDL/bit_funcs.vhd"
vhdl work "../../src/sys09bug/sys09swt.vhd"
vhdl work "../../src/sys09bug/sys09xes.vhd"
vhdl work "../../src/Flex9/flex9ram.vhd"
vhdl work "../../src/Flex9/flex9ide.vhd"
vhdl work "../VHDL/trap.vhd"
vhdl work "../VHDL/trap.vhd"
vhdl work "../VHDL/timer.vhd"
vhdl work "../VHDL/timer.vhd"
vhdl work "../VHDL/datram.vhd"
vhdl work "../VHDL/datram.vhd"
vhdl work "../VHDL/cpu09.vhd"
vhdl work "../VHDL/cpu09.vhd"
vhdl work "../VHDL/ACIA_Clock.vhd"
vhdl work "../VHDL/ACIA_Clock.vhd"
vhdl work "../VHDL/acia6850.vhd"
vhdl work "../VHDL/acia6850.vhd"
 
vhdl work "common.vhd"
vhdl work "system09.vhd"
vhdl work "system09.vhd"

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.