OpenCores
URL https://opencores.org/ocsvn/System09/System09/trunk

Subversion Repositories System09

[/] [System09/] [trunk/] [rtl/] [System09_Digilent_Atlys/] [system09.ucf] - Diff between revs 175 and 185

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 175 Rev 185
Line 52... Line 52...
# NET "FlashMemDq<3>" LOC = "V14"; # Bank = 2, Pin name = IO_L12N_D2_MISO3_2,               Sch name = DQ3
# NET "FlashMemDq<3>" LOC = "V14"; # Bank = 2, Pin name = IO_L12N_D2_MISO3_2,               Sch name = DQ3
 
 
#
#
# SYSTEM09: Status LED
# SYSTEM09: Status LED
#
#
# NET "S<0>" LOC = "U18"; # Bank = 1, Pin name = IO_L52N_M1DQ15,      Sch name = LD0
NET "S<0>" LOC = "U18"; # Bank = 1, Pin name = IO_L52N_M1DQ15,        Sch name = LD0
# NET "S<1>" LOC = "M14"; # Bank = 1, Pin name = IO_L53P,                     Sch name = LD1
NET "S<1>" LOC = "M14"; # Bank = 1, Pin name = IO_L53P,                       Sch name = LD1
# NET "S<2>" LOC = "N14"; # Bank = 1, Pin name = IO_L53N_VREF,        Sch name = LD2
NET "S<2>" LOC = "N14"; # Bank = 1, Pin name = IO_L53N_VREF,          Sch name = LD2
# NET "S<3>" LOC = "L14"; # Bank = 1, Pin name = IO_L61P,                     Sch name = LD3
NET "S<3>" LOC = "L14"; # Bank = 1, Pin name = IO_L61P,                       Sch name = LD3
# NET "S<4>" LOC = "M13"; # Bank = 1, Pin name = IO_L61N,                     Sch name = LD4
NET "S<4>" LOC = "M13"; # Bank = 1, Pin name = IO_L61N,                       Sch name = LD4
# NET "S<5>" LOC = "D4";  # Bank = 0, Pin name = IO_L1P_HSWAPEN_0,    Sch name = HSWAP/LD5
NET "S<5>" LOC = "D4";  # Bank = 0, Pin name = IO_L1P_HSWAPEN_0,      Sch name = HSWAP/LD5
# NET "S<6>" LOC = "P16"; # Bank = 1, Pin name = IO_L74N_DOUT_BUSY_1, Sch name = LD6
NET "S<6>" LOC = "P16"; # Bank = 1, Pin name = IO_L74N_DOUT_BUSY_1, Sch name = LD6
# NET "S<7>" LOC = "N12"; # Bank = 2, Pin name = IO_L13P_M1_2,                Sch name = M1/LD7
NET "S<7>" LOC = "N12"; # Bank = 2, Pin name = IO_L13P_M1_2,                  Sch name = M1/LD7
 
 
#
#
# SYSTEM09: Push button switches
# SYSTEM09: Push button switches
#
#
# NET "btn<0>" LOC = "T15"; # Bank = 2, Pin name = IO_L1N_M0_CMPMISO_2, Sch name = M0/RESET
# NET "btn<0>" LOC = "T15"; # Bank = 2, Pin name = IO_L1N_M0_CMPMISO_2, Sch name = M0/RESET
NET "RESET_N" LOC = "N4";  # Bank = 3, Pin name = IO_L1P,                          Sch name = BTNU
NET "btn<0>" LOC = "N4";  # Bank = 3, Pin name = IO_L1P,                      Sch name = BTNU
# NET "btn<1>" LOC = "P4";  # Bank = 3, Pin name = IO_L2P,                            Sch name = BTNL
NET "btn<1>" LOC = "P4";  # Bank = 3, Pin name = IO_L2P,                      Sch name = BTNL
NET "NMI_N" LOC = "P3";  # Bank = 3, Pin name = IO_L2N,                            Sch name = BTND
NET "btn<2>" LOC = "P3";  # Bank = 3, Pin name = IO_L2N,                      Sch name = BTND
# NET "btn<3>" LOC = "F6";  # Bank = 3, Pin name = IO_L55P_M3A13,     Sch name = BTNR
NET "btn<3>" LOC = "F6";  # Bank = 3, Pin name = IO_L55P_M3A13,       Sch name = BTNR
# NET "btn<4>" LOC = "F5";  # Bank = 3, Pin name = IO_L55N_M3A14,     Sch name = BTNC
NET "btn<4>" LOC = "F5";  # Bank = 3, Pin name = IO_L55N_M3A14,       Sch name = BTNC
 
 
# onBoard SWITCHES
# onBoard SWITCHES
# NET "sw<0>" LOC = "A10"; # Bank = 0, Pin name = IO_L37N_GCLK12,          Sch name = SW0
NET "sw<0>" LOC = "A10"; # Bank = 0, Pin name = IO_L37N_GCLK12,            Sch name = SW0
# NET "sw<1>" LOC = "D14"; # Bank = 0, Pin name = IO_L65P_SCP3,            Sch name = SW1
NET "sw<1>" LOC = "D14"; # Bank = 0, Pin name = IO_L65P_SCP3,              Sch name = SW1
# NET "sw<2>" LOC = "C14"; # Bank = 0, Pin name = IO_L65N_SCP2,            Sch name = SW2
NET "sw<2>" LOC = "C14"; # Bank = 0, Pin name = IO_L65N_SCP2,              Sch name = SW2
# NET "sw<3>" LOC = "P15"; # Bank = 1, Pin name = IO_L74P_AWAKE_1,       Sch name = SW3
NET "sw<3>" LOC = "P15"; # Bank = 1, Pin name = IO_L74P_AWAKE_1,       Sch name = SW3
# NET "sw<4>" LOC = "P12"; # Bank = 2, Pin name = IO_L13N_D10,                     Sch name = SW4
NET "sw<4>" LOC = "P12"; # Bank = 2, Pin name = IO_L13N_D10,               Sch name = SW4
# NET "sw<5>" LOC = "R5";  # Bank = 2, Pin name = IO_L48P_D7,                      Sch name = SW5
NET "sw<5>" LOC = "R5";  # Bank = 2, Pin name = IO_L48P_D7,                Sch name = SW5
# NET "sw<6>" LOC = "T5";  # Bank = 2, Pin name = IO_L48N_RDWR_B_VREF_2, Sch name = SW6
NET "sw<6>" LOC = "T5";  # Bank = 2, Pin name = IO_L48N_RDWR_B_VREF_2, Sch name = SW6
# NET "sw<7>" LOC = "E4";  # Bank = 3, Pin name = IO_L54P_M3RESET,       Sch name = SW7
NET "sw<7>" LOC = "E4";  # Bank = 3, Pin name = IO_L54P_M3RESET,       Sch name = SW7
 
 
# TEMAC Ethernet MAC
# TEMAC Ethernet MAC
# NET "phyrst"    LOC = "G13"; # Bank = 1, Pin name = IO_L32N_A16_M1A9,          Sch name = E-RESET
# NET "phyrst"    LOC = "G13"; # Bank = 1, Pin name = IO_L32N_A16_M1A9,          Sch name = E-RESET
# NET "phytxclk"  LOC = "K16"; # Bank = 1, Pin name = IO_L41N_GCLK8_M1CASN,       Sch name = E-TXCLK
# NET "phytxclk"  LOC = "K16"; # Bank = 1, Pin name = IO_L41N_GCLK8_M1CASN,       Sch name = E-TXCLK
 
 
Line 231... Line 231...
# NET "JB<6>"  LOC = "V4"; # Bank = 2,  Pin name = IO_L63N,        Sch name = JA-D1_N
# NET "JB<6>"  LOC = "V4"; # Bank = 2,  Pin name = IO_L63N,        Sch name = JA-D1_N
# NET "JB<7>"  LOC = "T4"; # Bank = 2,  Pin name = IO_L63P,        Sch name = JA-D1_P
# NET "JB<7>"  LOC = "T4"; # Bank = 2,  Pin name = IO_L63P,        Sch name = JA-D1_P
 
 
 
 
# Using PMOD connection
# Using PMOD connection
# RS-232 PMod                Atlys Pmod Port JB
# RS-232 PMod Pin       Function      PMOD   PinLoc
# Pin   Dir    Function      PMOD    Dir    PinLoc
#         1               CTS          JB<0>     T3
#  1    input   CTS          JB<0>   output  T3
#         2               RTS          JB<1>     R3
#  2    output  RTS          JB<1>   input   R3
#         3               TXD          JB<2>     P6
#  3    output  TXD          JB<2>   input   P6
#         4               RXD          JB<3>     N5
#  4    input   RXD          JB<3>   output  N5
NET "RS232_RXD" LOC = "N5"; # Bank = 0, Pin name = IO_L66N_SCP0, Sch name = USBB-RXD
# NET "RS232_CTS"  LOC = "T3"; # Bank = 2,  Pin name = IO_L62N_D6,     Sch name = JA-D0_N
NET "RS232_TXD" LOC = "P6"; # Bank = 0, Pin name = IO_L66P_SCP1, Sch name = USBB-TXD
# NET "RS232_RTS"  LOC = "R3"; # Bank = 2,  Pin name = IO_L62P_D5,     Sch name = JA-D0_P
 
NET "RS232_RXD" LOC = "P6"; # Bank = 0, Pin name = IO_L66N_SCP0, Sch name = USBB-RXD
 
NET "RS232_TXD" LOC = "N5"; # Bank = 0, Pin name = IO_L66P_SCP1, Sch name = USBB-TXD
 
 
 
# onboard VHDCI
# onboard VHDCI
# Channnel 1 connects to P signals, Channel 2 to N signals
# Channnel 1 connects to P signals, Channel 2 to N signals
# NET "VHDCIIO1<0>"  LOC = "U16"; # Bank = 2,  Pin name = IO_L2P_CMPCLK,           Sch name = EXP-IO1_P
# NET "VHDCIIO1<0>"  LOC = "U16"; # Bank = 2,  Pin name = IO_L2P_CMPCLK,           Sch name = EXP-IO1_P
# NET "VHDCIIO1<1>"  LOC = "U15"; # Bank = 2,  Pin name = *IO_L5P,                 Sch name = EXP-IO2_P
# NET "VHDCIIO1<1>"  LOC = "U15"; # Bank = 2,  Pin name = *IO_L5P,                 Sch name = EXP-IO2_P

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.