OpenCores
URL https://opencores.org/ocsvn/System09/System09/trunk

Subversion Repositories System09

[/] [System09/] [trunk/] [rtl/] [System09_Digilent_Atlys/] [system09.ucf] - Diff between revs 209 and 212

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 209 Rev 212
Line 40... Line 40...
# NET "UsbDir"   LOC = "B2";  # Bank = 0, Pin name = IO_L2P,             Sch name = U1-SLCS
# NET "UsbDir"   LOC = "B2";  # Bank = 0, Pin name = IO_L2P,             Sch name = U1-SLCS
# NET "UsbMode"          LOC = "A5";  # Bank = 0, Pin name = IO_L6N,             Sch name = U1-INT0#
# NET "UsbMode"          LOC = "A5";  # Bank = 0, Pin name = IO_L6N,             Sch name = U1-INT0#
 
 
# NET "UsbAdr<0>" LOC = "A14"; # Bank = 0, Pin name = IO_L62N_VREF,         Sch name = U1-FIFOAD0
# NET "UsbAdr<0>" LOC = "A14"; # Bank = 0, Pin name = IO_L62N_VREF,         Sch name = U1-FIFOAD0
# NET "UsbAdr<1>" LOC = "B14"; # Bank = 0, Pin name = IO_L62P,              Sch name = U1-FIFOAD1
# NET "UsbAdr<1>" LOC = "B14"; # Bank = 0, Pin name = IO_L62P,              Sch name = U1-FIFOAD1
 
# RS232 port
 
NET "RS232_RXD" LOC = "A16"; # Bank = 0, Pin name = IO_L66N_SCP0, Sch name = USBB-RXD
 
NET "RS232_TXD" LOC = "B16"; # Bank = 0, Pin name = IO_L66P_SCP1, Sch name = USBB-TXD
 
 
# onBoard Quad-SPI Flash
# onBoard Quad-SPI Flash
# NET "FlashCLK"         LOC = "R15"; # Bank = 2, Pin name = IO_L1P_CCLK_2,                      Sch name = SCK
# NET "FlashCLK"         LOC = "R15"; # Bank = 2, Pin name = IO_L1P_CCLK_2,                      Sch name = SCK
# NET "FlashCS"                  LOC = "V3";  # Bank = 2, Pin name = IO_L65N_CSO_B_2,                    Sch name = CS
# NET "FlashCS"                  LOC = "V3";  # Bank = 2, Pin name = IO_L65N_CSO_B_2,                    Sch name = CS
# NET "FlashMemDq<0>" LOC = "T13"; # Bank = 2, Pin name = IO_L3N_MOSI_CSI_B_MISO0_2,  Sch name = SDI
# NET "FlashMemDq<0>" LOC = "T13"; # Bank = 2, Pin name = IO_L3N_MOSI_CSI_B_MISO0_2,  Sch name = SDI
Line 171... Line 174...
 
 
# NET "DDR2ZIO"    LOC="C2"; # Bank = 3, Pin name = IO_L83P,                                      Sch name = DDR-ODT
# NET "DDR2ZIO"    LOC="C2"; # Bank = 3, Pin name = IO_L83P,                                      Sch name = DDR-ODT
# NET "DDR2RZM"    LOC="L6"; # Bank = 3, Pin name = IO_L31P,                                      Sch name = DDR-ODT
# NET "DDR2RZM"    LOC="L6"; # Bank = 3, Pin name = IO_L31P,                                      Sch name = DDR-ODT
 
 
# onboard HDMI OUT
# onboard HDMI OUT
# NET "TMDSp_clock" LOC = "B6"; # Bank = 0, Pin name = IO_L8P,            Sch name = TMDS-TX-CLK_P
NET "TMDSp_clock" LOC = "B6"; # Bank = 0, Pin name = IO_L8P,              Sch name = TMDS-TX-CLK_P
# NET "TMDSn_clock" LOC = "A6"; # Bank = 0, Pin name = IO_L8N_VREF,       Sch name = TMDS-TX-CLK_N
NET "TMDSn_clock" LOC = "A6"; # Bank = 0, Pin name = IO_L8N_VREF,         Sch name = TMDS-TX-CLK_N
# NET "TMDSp[0]"  LOC = "D8"; # Bank = 0, Pin name = IO_L11P,             Sch name = TMDS-TX-0_P
NET "TMDSp[0]"  LOC = "D8"; # Bank = 0, Pin name = IO_L11P,               Sch name = TMDS-TX-0_P
# NET "TMDSn[0]"  LOC = "C8"; # Bank = 0, Pin name = IO_L11N,             Sch name = TMDS-TX-0_N
NET "TMDSn[0]"  LOC = "C8"; # Bank = 0, Pin name = IO_L11N,               Sch name = TMDS-TX-0_N
# NET "TMDSp[1]"  LOC = "C7"; # Bank = 0, Pin name = IO_L10P,             Sch name = TMDS-TX-1_P
NET "TMDSp[1]"  LOC = "C7"; # Bank = 0, Pin name = IO_L10P,               Sch name = TMDS-TX-1_P
# NET "TMDSn[1]"  LOC = "A7"; # Bank = 0, Pin name = IO_L10N,             Sch name = TMDS-TX-1_N
NET "TMDSn[1]"  LOC = "A7"; # Bank = 0, Pin name = IO_L10N,               Sch name = TMDS-TX-1_N
# NET "TMDSp[2]"  LOC = "B8"; # Bank = 0, Pin name = IO_L33P,             Sch name = TMDS-TX-2_P
NET "TMDSp[2]"  LOC = "B8"; # Bank = 0, Pin name = IO_L33P,               Sch name = TMDS-TX-2_P
# NET "TMDSn[2]"  LOC = "A8"; # Bank = 0, Pin name = IO_L33N,             Sch name = TMDS-TX-2_N
NET "TMDSn[2]"  LOC = "A8"; # Bank = 0, Pin name = IO_L33N,               Sch name = TMDS-TX-2_N
# NET "HDMIOUTSCL"  LOC = "D9"; # Bank = 0, Pin name = IO_L34P_GCLK19, Sch name = TMDS-TX-SCL
# NET "HDMIOUTSCL"  LOC = "D9"; # Bank = 0, Pin name = IO_L34P_GCLK19, Sch name = TMDS-TX-SCL
# NET "HDMIOUTSDA"  LOC = "C9"; # Bank = 0, Pin name = IO_L34N_GCLK18, Sch name = TMDS-TX-SDA
# NET "HDMIOUTSDA"  LOC = "C9"; # Bank = 0, Pin name = IO_L34N_GCLK18, Sch name = TMDS-TX-SDA
 
 
# onboard HDMI IN1 (PMODA)
# onboard HDMI IN1 (PMODA)
# NET "HDMIIN1CLKP" LOC = "D11"; # Bank = 0, Pin name = IO_L36P_GCLK15, Sch name = TMDS-RXB-CLK_P
# NET "HDMIIN1CLKP" LOC = "D11"; # Bank = 0, Pin name = IO_L36P_GCLK15, Sch name = TMDS-RXB-CLK_P
Line 235... Line 238...
# NET "JB<4>"  LOC = "V9"; # Bank = 2,  Pin name = IO_L32N_GCLK28, Sch name = JA-CLK_N
# NET "JB<4>"  LOC = "V9"; # Bank = 2,  Pin name = IO_L32N_GCLK28, Sch name = JA-CLK_N
# NET "JB<5>"  LOC = "T9"; # Bank = 2,  Pin name = IO_L32P_GCLK29, Sch name = JA-CLK_P
# NET "JB<5>"  LOC = "T9"; # Bank = 2,  Pin name = IO_L32P_GCLK29, Sch name = JA-CLK_P
# NET "JB<6>"  LOC = "V4"; # Bank = 2,  Pin name = IO_L63N,        Sch name = JA-D1_N
# NET "JB<6>"  LOC = "V4"; # Bank = 2,  Pin name = IO_L63N,        Sch name = JA-D1_N
# NET "JB<7>"  LOC = "T4"; # Bank = 2,  Pin name = IO_L63P,        Sch name = JA-D1_P
# NET "JB<7>"  LOC = "T4"; # Bank = 2,  Pin name = IO_L63P,        Sch name = JA-D1_P
 
 
# PMOD Connector
 
#   to J2 of Pmod-VGA (green-only VGA output)
 
NET "VGA_green[0]" LOC = "T3";
 
NET "VGA_green[1]" LOC = "R3";
 
NET "VGA_green[2]" LOC = "P6";
 
NET "VGA_green[3]" LOC = "N5";
 
NET "VGA_hsync_n" LOC = "V9";
 
NET "VGA_vsync_n" LOC = "T9";
 
 
 
# onboard VHDCI
# onboard VHDCI
# Channnel 1 connects to P signals, Channel 2 to N signals
# Channnel 1 connects to P signals, Channel 2 to N signals
# NET "VHDCIIO1<0>"  LOC = "U16"; # Bank = 2,  Pin name = IO_L2P_CMPCLK,           Sch name = EXP-IO1_P
# NET "VHDCIIO1<0>"  LOC = "U16"; # Bank = 2,  Pin name = IO_L2P_CMPCLK,           Sch name = EXP-IO1_P
# NET "VHDCIIO1<1>"  LOC = "U15"; # Bank = 2,  Pin name = *IO_L5P,                 Sch name = EXP-IO2_P
# NET "VHDCIIO1<1>"  LOC = "U15"; # Bank = 2,  Pin name = *IO_L5P,                 Sch name = EXP-IO2_P
# NET "VHDCIIO1<2>"  LOC = "U13"; # Bank = 2,  Pin name = IO_L14P_D11,             Sch name = EXP-IO3_P
# NET "VHDCIIO1<2>"  LOC = "U13"; # Bank = 2,  Pin name = IO_L14P_D11,             Sch name = EXP-IO3_P
Line 288... Line 282...
# NET "VHDCIIO2<16>" LOC = "V6";  # Bank = 2,  Pin name = IO_L45N,                  Sch name = EXP-IO17_N
# NET "VHDCIIO2<16>" LOC = "V6";  # Bank = 2,  Pin name = IO_L45N,                  Sch name = EXP-IO17_N
# NET "VHDCIIO2<17>" LOC = "T7";  # Bank = 2,  Pin name = IO_L46N,                  Sch name = EXP-IO18_N
# NET "VHDCIIO2<17>" LOC = "T7";  # Bank = 2,  Pin name = IO_L46N,                  Sch name = EXP-IO18_N
# NET "VHDCIIO2<18>" LOC = "P7";  # Bank = 2,  Pin name = *IO_L47N,                 Sch name = EXP-IO19_N
# NET "VHDCIIO2<18>" LOC = "P7";  # Bank = 2,  Pin name = *IO_L47N,                 Sch name = EXP-IO19_N
# NET "VHDCIIO2<19>" LOC = "V5";  # Bank = 2,  Pin name = IO_49N_D4,                        Sch name = EXP-IO20_N
# NET "VHDCIIO2<19>" LOC = "V5";  # Bank = 2,  Pin name = IO_49N_D4,                        Sch name = EXP-IO20_N
 
 
#
 
# SYSTEM09: RS232 PORT
 
#
 
NET "RS232_RXD" LOC = "A16"; # Bank = 0, Pin name = IO_L66N_SCP0, Sch name = USBB-RXD
 
NET "RS232_TXD" LOC = "B16"; # Bank = 0, Pin name = IO_L66P_SCP1, Sch name = USBB-TXD
 
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.