OpenCores
URL https://opencores.org/ocsvn/System09/System09/trunk

Subversion Repositories System09

[/] [System09/] [trunk/] [rtl/] [System09_Digilent_Atlys/] [system09.vhd] - Diff between revs 162 and 169

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 162 Rev 169
Line 467... Line 467...
  );
  );
end component;
end component;
 
 
begin
begin
 
 
 
  clk_i <= CLKA;
  -----------------------------------------------------------------------------
  -----------------------------------------------------------------------------
  -- Instantiation of internal components
  -- Instantiation of internal components
  -----------------------------------------------------------------------------
  -----------------------------------------------------------------------------
 
 
  my_cpu : cpu09
  my_cpu : cpu09
Line 767... Line 768...
  --
  --
  -- Reset button and reset timer
  -- Reset button and reset timer
  --
  --
  my_switch_assignments : process( rst_i, SW2_N)
  my_switch_assignments : process( rst_i, SW2_N)
  begin
  begin
    rst_i <= not SW2_N;
    rst_i <= SW2_N;
    cpu_reset <= rst_i;
    cpu_reset <= rst_i;
  end process;
  end process;
 
 
  --
  --
  -- RS232 signals:
  -- RS232 signals:
Line 813... Line 814...
    end if;
    end if;
  end process;
  end process;
 
 
  status_leds : process( rst_i, cpu_reset)
  status_leds : process( rst_i, cpu_reset)
  begin
  begin
    S(7) <= rst_i;
    S(0) <= cpu_reset;
    S(6) <= cpu_reset;
    S(1) <= countL(23);
    S(2) <= countL(23);
    S(2) <= RS232_RXD;
    S(3) <= countL(22);
    S(3) <= txd;
         S(4) <= countL(21);
         S(4) <= Clk25;
         S(5) <= countL(20);
         S(5) <= '0';
         S(1) <= '1'; -- countL(19);
         S(6) <= '0';
         S(0) <= '0'; -- countL(18);
         S(7) <= '0';
    --S(7 downto 4) <= "0000";
    --S(7 downto 4) <= "0000";
  end process;
  end process;
 
 
--  debug_proc : process( cpu_reset, cpu_clk, cpu_rw, cpu_vma,
--  debug_proc : process( cpu_reset, cpu_clk, cpu_rw, cpu_vma,
--                      cpu_halt, cpu_hold,
--                      cpu_halt, cpu_hold,

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.