OpenCores
URL https://opencores.org/ocsvn/System09/System09/trunk

Subversion Repositories System09

[/] [System09/] [trunk/] [rtl/] [System09_Digilent_ZyboZ20/] [system09.ucf] - Diff between revs 179 and 187

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 179 Rev 187
Line 8... Line 8...
## Constraints have been described using UCF syntax.
## Constraints have been described using UCF syntax.
 
 
##Clock signal
##Clock signal
#set_property -dict { PACKAGE_PIN K17   IOSTANDARD LVCMOS33 } [get_ports { sysclk }]; #IO_L12P_T1_MRCC_35 Sch=sysclk
#set_property -dict { PACKAGE_PIN K17   IOSTANDARD LVCMOS33 } [get_ports { sysclk }]; #IO_L12P_T1_MRCC_35 Sch=sysclk
#create_clock -add -name sys_clk_pin -period 8.00 -waveform {0 4} [get_ports { sysclk }];
#create_clock -add -name sys_clk_pin -period 8.00 -waveform {0 4} [get_ports { sysclk }];
NET "sysclk" LOC = "K17";
NET "CLKA" LOC = "K17";
NET "sysclk" IOSTANDARD = LVCMOS33;
NET "CLKA" IOSTANDARD = LVCMOS33;
NET "sysclk" TNM_NET="sysclk";
NET "CLKA" TNM_NET="CLKA";
TIMESPEC "TS_clk"=PERIOD "sysclk" 10 ns HIGH 50 %;
TIMESPEC "TS_clk"=PERIOD "CLKA" 10 ns HIGH 50 %;
 
 
##Switches
##Switches
#set_property -dict { PACKAGE_PIN G15   IOSTANDARD LVCMOS33 } [get_ports { sw[0] }]; #IO_L19N_T3_VREF_35 Sch=sw[0]
#set_property -dict { PACKAGE_PIN G15   IOSTANDARD LVCMOS33 } [get_ports { sw[0] }]; #IO_L19N_T3_VREF_35 Sch=sw[0]
#set_property -dict { PACKAGE_PIN P15   IOSTANDARD LVCMOS33 } [get_ports { sw[1] }]; #IO_L24P_T3_34 Sch=sw[1]
#set_property -dict { PACKAGE_PIN P15   IOSTANDARD LVCMOS33 } [get_ports { sw[1] }]; #IO_L24P_T3_34 Sch=sw[1]
#set_property -dict { PACKAGE_PIN W13   IOSTANDARD LVCMOS33 } [get_ports { sw[2] }]; #IO_L4N_T0_34 Sch=sw[2]
#set_property -dict { PACKAGE_PIN W13   IOSTANDARD LVCMOS33 } [get_ports { sw[2] }]; #IO_L4N_T0_34 Sch=sw[2]
#set_property -dict { PACKAGE_PIN T16   IOSTANDARD LVCMOS33 } [get_ports { sw[3] }]; #IO_L9P_T1_DQS_34 Sch=sw[3]
#set_property -dict { PACKAGE_PIN T16   IOSTANDARD LVCMOS33 } [get_ports { sw[3] }]; #IO_L9P_T1_DQS_34 Sch=sw[3]
 
NET "sw[0]" LOC = "G15";
 
NET "sw[0]" IOSTANDARD = LVCMOS33;
 
NET "sw[1]" LOC = "P15";
 
NET "sw[1]" IOSTANDARD = LVCMOS33;
 
NET "sw[2]" LOC = "W13";
 
NET "sw[2]" IOSTANDARD = LVCMOS33;
 
NET "sw[3]" LOC = "T16";
 
NET "sw[3]" IOSTANDARD = LVCMOS33;
 
 
##Buttons
##Buttons
#set_property -dict { PACKAGE_PIN K18   IOSTANDARD LVCMOS33 } [get_ports { RESET_N }]; #IO_L12N_T1_MRCC_35 Sch=btn[0]
#set_property -dict { PACKAGE_PIN K18   IOSTANDARD LVCMOS33 } [get_ports { btn[0] }]; #IO_L12N_T1_MRCC_35 Sch=btn[0]
#set_property -dict { PACKAGE_PIN P16   IOSTANDARD LVCMOS33 } [get_ports { NMI_N }]; #IO_L24N_T3_34 Sch=btn[1]
#set_property -dict { PACKAGE_PIN P16   IOSTANDARD LVCMOS33 } [get_ports { btn[1] }]; #IO_L24N_T3_34 Sch=btn[1]
NET "RESET_N" LOC = "K18";
 
NET "RESET_N" IOSTANDARD = LVCMOS33;
 
NET "NMI_N" LOC = "P16";
 
NET "NMI_N" IOSTANDARD = LVCMOS33;
 
#set_property -dict { PACKAGE_PIN K19   IOSTANDARD LVCMOS33 } [get_ports { btn[2] }]; #IO_L10P_T1_AD11P_35 Sch=btn[2]
#set_property -dict { PACKAGE_PIN K19   IOSTANDARD LVCMOS33 } [get_ports { btn[2] }]; #IO_L10P_T1_AD11P_35 Sch=btn[2]
#set_property -dict { PACKAGE_PIN Y16   IOSTANDARD LVCMOS33 } [get_ports { btn[3] }]; #IO_L7P_T1_34 Sch=btn[3]
#set_property -dict { PACKAGE_PIN Y16   IOSTANDARD LVCMOS33 } [get_ports { btn[3] }]; #IO_L7P_T1_34 Sch=btn[3]
 
NET "btn[0]" LOC = "K18";
 
NET "btn[0]" IOSTANDARD = LVCMOS33;
 
NET "btn[1]" LOC = "P16";
 
NET "btn[1]" IOSTANDARD = LVCMOS33;
 
NET "btn[2]" LOC = "K19";
 
NET "btn[2]" IOSTANDARD = LVCMOS33;
 
NET "btn[3]" LOC = "Y16";
 
NET "btn[3]" IOSTANDARD = LVCMOS33;
 
 
##LEDs
##LEDs
#set_property -dict { PACKAGE_PIN M14   IOSTANDARD LVCMOS33 } [get_ports { led[0] }]; #IO_L23P_T3_35 Sch=led[0]
#set_property -dict { PACKAGE_PIN M14   IOSTANDARD LVCMOS33 } [get_ports { led[0] }]; #IO_L23P_T3_35 Sch=led[0]
#set_property -dict { PACKAGE_PIN M15   IOSTANDARD LVCMOS33 } [get_ports { led[1] }]; #IO_L23N_T3_35 Sch=led[1]
#set_property -dict { PACKAGE_PIN M15   IOSTANDARD LVCMOS33 } [get_ports { led[1] }]; #IO_L23N_T3_35 Sch=led[1]
#set_property -dict { PACKAGE_PIN G14   IOSTANDARD LVCMOS33 } [get_ports { led[2] }]; #IO_0_35 Sch=led[2]
#set_property -dict { PACKAGE_PIN G14   IOSTANDARD LVCMOS33 } [get_ports { led[2] }]; #IO_0_35 Sch=led[2]
#set_property -dict { PACKAGE_PIN D18   IOSTANDARD LVCMOS33 } [get_ports { led[3] }]; #IO_L3N_T0_DQS_AD1N_35 Sch=led[3]
#set_property -dict { PACKAGE_PIN D18   IOSTANDARD LVCMOS33 } [get_ports { led[3] }]; #IO_L3N_T0_DQS_AD1N_35 Sch=led[3]
 
NET "led[0]" LOC = "M14";
 
NET "led[0]" IOSTANDARD = LVCMOS33;
 
NET "led[0]" DRIVE = 12;
 
NET "led[0]" SLEW = SLOW;
 
NET "led[1]" LOC = "M15";
 
NET "led[1]" IOSTANDARD = LVCMOS33;
 
NET "led[1]" DRIVE = 12;
 
NET "led[1]" SLEW = SLOW;
 
NET "led[2]" LOC = "G14";
 
NET "led[2]" IOSTANDARD = LVCMOS33;
 
NET "led[2]" DRIVE = 12;
 
NET "led[2]" SLEW = SLOW;
 
NET "led[3]" LOC = "D18";
 
NET "led[3]" IOSTANDARD = LVCMOS33;
 
NET "led[3]" DRIVE = 12;
 
NET "led[3]" SLEW = SLOW;
 
 
 
 
##RGB LED 5 (Zybo Z7-20 only)
##RGB LED 5 (Zybo Z7-20 only)
#set_property -dict { PACKAGE_PIN Y11   IOSTANDARD LVCMOS33 } [get_ports { led5_r }]; #IO_L18N_T2_13 Sch=led5_r
#set_property -dict { PACKAGE_PIN Y11   IOSTANDARD LVCMOS33 } [get_ports { led5_r }]; #IO_L18N_T2_13 Sch=led5_r
#set_property -dict { PACKAGE_PIN T5    IOSTANDARD LVCMOS33 } [get_ports { led5_g }]; #IO_L19P_T3_13 Sch=led5_g
#set_property -dict { PACKAGE_PIN T5    IOSTANDARD LVCMOS33 } [get_ports { led5_g }]; #IO_L19P_T3_13 Sch=led5_g
Line 172... Line 199...
# Pin   Dir    Function      PMOD    Dir    PinLoc
# Pin   Dir    Function      PMOD    Dir    PinLoc
#  1    input   CTS          je<0>   output  V12
#  1    input   CTS          je<0>   output  V12
#  2    output  RTS          je<1>   input   W16
#  2    output  RTS          je<1>   input   W16
#  3    output  TXD          je<2>   input   J15
#  3    output  TXD          je<2>   input   J15
#  4    input   RXD          je<3>   output  H15
#  4    input   RXD          je<3>   output  H15
 
NET "RS232_RTS" LOC = "V12";
 
NET "RS232_RTS" IOSTANDARD = LVCMOS33;
 
NET "RS232_CTS" LOC = "W16";
 
NET "RS232_CTS" IOSTANDARD = LVCMOS33;
 
NET "RS232_CTS" DRIVE = 12;
 
NET "RS232_CTS" SLEW = SLOW;
NET "RS232_RXD" LOC = "J15";
NET "RS232_RXD" LOC = "J15";
NET "RS232_RXD" IOSTANDARD = LVCMOS33;
NET "RS232_RXD" IOSTANDARD = LVCMOS33;
NET "RS232_TXD" LOC = "H15";
NET "RS232_TXD" LOC = "H15";
NET "RS232_TXD" IOSTANDARD = LVCMOS33;
NET "RS232_TXD" IOSTANDARD = LVCMOS33;
NET "RS232_TXD" DRIVE = 12;
NET "RS232_TXD" DRIVE = 12;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.