OpenCores
URL https://opencores.org/ocsvn/System09/System09/trunk

Subversion Repositories System09

[/] [System09/] [trunk/] [rtl/] [System09_Xess_XSA-3S1000/] [System09_Xess_XSA-3S1000.vhd] - Diff between revs 99 and 114

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 99 Rev 114
Line 134... Line 134...
        use work.common.all;
        use work.common.all;
        use WORK.xsasdram.all;
        use WORK.xsasdram.all;
library unisim;
library unisim;
   use unisim.vcomponents.all;
   use unisim.vcomponents.all;
 
 
entity my_system09 is
entity system09 is
  port(
  port(
    CLKA       : in  Std_Logic;  -- 100MHz Clock input
    CLKA       : in  Std_Logic;  -- 100MHz Clock input
         SW2_N        : in  Std_logic;  -- Master Reset input (active low)
         SW2_N        : in  Std_logic;  -- Master Reset input (active low)
         SW3_N        : in  Std_logic;  -- Non Maskable Interrupt input (active low)
         SW3_N        : in  Std_logic;  -- Non Maskable Interrupt input (active low)
 
 
Line 204... Line 204...
--       slot2_irq    : in  std_logic;
--       slot2_irq    : in  std_logic;
 
 
         -- Disable Flash
         -- Disable Flash
         FLASH_CE_N   : out std_logic
         FLASH_CE_N   : out std_logic
         );
         );
end My_System09;
end system09;
 
 
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Architecture for System09
-- Architecture for System09
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
architecture rtl of my_system09 is
architecture rtl of system09 is
 
 
  -----------------------------------------------------------------------------
  -----------------------------------------------------------------------------
  -- constants
  -- constants
  -----------------------------------------------------------------------------
  -----------------------------------------------------------------------------
  constant SYS_Clock_Frequency  : integer := 50000000;  -- FPGA System Clock
  constant SYS_Clock_Frequency  : integer := 50000000;  -- FPGA System Clock

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.