OpenCores
URL https://opencores.org/ocsvn/System09/System09/trunk

Subversion Repositories System09

[/] [System09/] [trunk/] [rtl/] [VHDL/] [Flasher.vhd] - Diff between revs 100 and 118

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 100 Rev 118
Line 12... Line 12...
--                   to indicate code has loaded correctly
--                   to indicate code has loaded correctly
--
--
--  Dependencies   : ieee.std_logic_1164
--  Dependencies   : ieee.std_logic_1164
--                   ieee.numeric_std
--                   ieee.numeric_std
--                   ieee.std_logic_unsigned
--                   ieee.std_logic_unsigned
--                   unisim.vcomponents
 
--
--
--  Author         : John E. Kent
--  Author         : John E. Kent
--
--
--  Email          : dilbert57@opencores.org      
--  Email          : dilbert57@opencores.org      
--
--
Line 51... Line 50...
 
 
library ieee;
library ieee;
  use ieee.std_logic_1164.all;
  use ieee.std_logic_1164.all;
  use ieee.numeric_std.all;
  use ieee.numeric_std.all;
  use ieee.std_logic_unsigned.all;
  use ieee.std_logic_unsigned.all;
library unisim;
--library unisim;
  use unisim.vcomponents.all;
--  use unisim.vcomponents.all;
 
 
-----------------------------------------------------------------------
-----------------------------------------------------------------------
--                    Entity for B3_SRAM                             --
--                    Entity for B3_SRAM                             --
-----------------------------------------------------------------------
-----------------------------------------------------------------------
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.