OpenCores
URL https://opencores.org/ocsvn/System09/System09/trunk

Subversion Repositories System09

[/] [System09/] [trunk/] [rtl/] [VHDL/] [datram.vhd] - Diff between revs 118 and 122

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 118 Rev 122
Line 34... Line 34...
--
--
--  DAT    Dat           Logical Physical
--  DAT    Dat           Logical Physical
--  Reg    Val           Addr    Addr
--  Reg    Val           Addr    Addr
--       fff0 - 0f - page 0 - $0xxx = $00xxx (RAM)
--       fff0 - 0f - page 0 - $0xxx = $00xxx (RAM)
--       fff1 - 0e - page 1 - $1xxx = $01xxx (RAM) 
--       fff1 - 0e - page 1 - $1xxx = $01xxx (RAM) 
--       fff2 - 0d - page 0 - $2xxx = $02xxx (RAM)
--       fff2 - 0d - page 2 - $2xxx = $02xxx (RAM)
--       fff3 - 0c - page 0 - $3xxx = $03xxx (RAM)
--       fff3 - 0c - page 3 - $3xxx = $03xxx (RAM)
--       fff4 - 0b - page 0 - $4xxx = $04xxx (RAM)
--       fff4 - 0b - page 4 - $4xxx = $04xxx (RAM)
--       fff5 - 0a - page 0 - $5xxx = $05xxx (RAM)
--       fff5 - 0a - page 5 - $5xxx = $05xxx (RAM)
--       fff6 - 09 - page 0 - $6xxx = $06xxx (RAM)
--       fff6 - 09 - page 6 - $6xxx = $06xxx (RAM)
--       fff7 - 08 - page 0 - $7xxx = $07xxx (RAM)
--       fff7 - 08 - page 7 - $7xxx = $07xxx (RAM)
--       fff8 - 07 - page 0 - $8xxx = $08xxx (RAM)
--       fff8 - 07 - page 8 - $8xxx = $08xxx (RAM)
--       fff9 - 06 - page 0 - $9xxx = $09xxx (RAM)
--       fff9 - 06 - page 9 - $9xxx = $09xxx (RAM)
--       fffa - 05 - page 0 - $axxx = $0axxx (RAM)
--       fffa - 05 - page A - $axxx = $0axxx (RAM)
--       fffb - 04 - page 0 - $bxxx = $0bxxx (RAM)
--       fffb - 04 - page B - $bxxx = $0bxxx (RAM)
--       fffc - 03 - page 0 - $cxxx = $0cxxx (RAM)
--       fffc - 03 - page C - $cxxx = $0cxxx (RAM)
--       fffd - 02 - page 0 - $dxxx = $0dxxx (RAM)
--       fffd - 02 - page D - $dxxx = $0dxxx (RAM)
--       fffe - f1 - page 0 - $exxx = $fexxx (I/O)
--       fffe - f1 - page E - $exxx = $fexxx (I/O)
--       ffff - f0 - page 0 - $fxxx = $ffxxx (ROM/DMFA2)
--       ffff - f0 - page F - $fxxx = $ffxxx (ROM/DMFA2)
--
--
--  Copyright (C) 2003 - 2010 John Kent
--  Copyright (C) 2003 - 2010 John Kent
--
--
--  This program is free software: you can redistribute it and/or modify
--  This program is free software: you can redistribute it and/or modify
--  it under the terms of the GNU General Public License as published by
--  it under the terms of the GNU General Public License as published by
Line 81... Line 81...
--
--
-- 0.3     2007-02-25  John Kent  Modify the sensitivity lists
-- 0.3     2007-02-25  John Kent  Modify the sensitivity lists
--
--
-- 0.4     2010-06-17  John Kent  Update header and added GPL
-- 0.4     2010-06-17  John Kent  Update header and added GPL
--
--
 
-- 0.5     2010-12-10  John Kent  Correction of pages in header documentation
 
-- 
 
 
library ieee;
library ieee;
  use ieee.std_logic_1164.all;
  use ieee.std_logic_1164.all;
  use ieee.std_logic_unsigned.all;
  use ieee.std_logic_unsigned.all;
--library unisim;
--library unisim;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.