OpenCores
URL https://opencores.org/ocsvn/System09/System09/trunk

Subversion Repositories System09

[/] [System09/] [trunk/] [rtl/] [VHDL/] [peripheral_bus.vhd] - Diff between revs 100 and 118

Show entire file | Details | Blame | View Log

Rev 100 Rev 118
Line 84... Line 84...
 
 
library ieee;
library ieee;
  use ieee.std_logic_1164.all;
  use ieee.std_logic_1164.all;
  use ieee.numeric_std.all;
  use ieee.numeric_std.all;
  use ieee.std_logic_unsigned.all;
  use ieee.std_logic_unsigned.all;
library unisim;
--library unisim;
  use unisim.vcomponents.all;
--  use unisim.vcomponents.all;
 
 
-----------------------------------------------------------------------
-----------------------------------------------------------------------
--                 Entity for peripheral bus                         --
--                 Entity for peripheral bus                         --
-----------------------------------------------------------------------
-----------------------------------------------------------------------
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.