OpenCores
URL https://opencores.org/ocsvn/System09/System09/trunk

Subversion Repositories System09

[/] [System09/] [trunk/] [rtl/] [VHDL/] [pia6821.vhd] - Diff between revs 99 and 118

Show entire file | Details | Blame | View Log

Rev 99 Rev 118
Line 59... Line 59...
--===========================================================================--
--===========================================================================--
 
 
library ieee;
library ieee;
   use ieee.std_logic_1164.all;
   use ieee.std_logic_1164.all;
   use ieee.std_logic_unsigned.all;
   use ieee.std_logic_unsigned.all;
library unisim;
--library unisim;
   use unisim.vcomponents.all;
--   use unisim.vcomponents.all;
 
 
entity pia6821 is
entity pia6821 is
  port (
  port (
    clk       : in    std_logic;
    clk       : in    std_logic;
    rst       : in    std_logic;
    rst       : in    std_logic;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.