OpenCores
URL https://opencores.org/ocsvn/System09/System09/trunk

Subversion Repositories System09

[/] [System09/] [trunk/] [rtl/] [VHDL/] [spi-master.vhd] - Diff between revs 118 and 223

Show entire file | Details | Blame | View Log

Rev 118 Rev 223
Line 88... Line 88...
--
--
-- Version  Author        Date               Description
-- Version  Author        Date               Description
--
--
-- 0.1      Hans Huebner  23 February 2009   SPI bus master for System09 
-- 0.1      Hans Huebner  23 February 2009   SPI bus master for System09 
-- 0.2      John Kent     16 June 2010       Added GPL notice
-- 0.2      John Kent     16 June 2010       Added GPL notice
--
-- 0.3      David Burnette 8 April 2021      Added read-back of clk/transfer size
 
--                                           as well as a debug tag to confirm
 
--                                           read operation
--
--
 
 
library ieee;
library ieee;
  use ieee.std_logic_1164.all;
  use ieee.std_logic_1164.all;
  use ieee.std_logic_unsigned.all;
  use ieee.std_logic_unsigned.all;
Line 203... Line 205...
          data_out(0) <= '0';
          data_out(0) <= '0';
        else
        else
          data_out(0) <= '1';
          data_out(0) <= '1';
        end if;
        end if;
        data_out(1) <= deselect;
        data_out(1) <= deselect;
 
                when "11" =>
 
                  data_out(1 downto 0) <= spi_clk_divide; -- allow read back of config
 
                  data_out(3 downto 2) <= transfer_length;
 
                  data_out(7 downto 4) <= "1010";  -- debug tag
      when others =>
      when others =>
        null;
        null;
    end case;
    end case;
  end process;
  end process;
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.