OpenCores
URL https://opencores.org/ocsvn/System09/System09/trunk

Subversion Repositories System09

[/] [System09/] [trunk/] [rtl/] [VHDL/] [vdu8_hdmi.vhd] - Diff between revs 211 and 214

Show entire file | Details | Blame | View Log

Rev 211 Rev 214
Line 281... Line 281...
      data_in  : in std_logic_vector (7 downto 0);
      data_in  : in std_logic_vector (7 downto 0);
      data_out : out std_logic_vector (7 downto 0)
      data_out : out std_logic_vector (7 downto 0)
      );
      );
  end component;
  end component;
 
 
  component ram_2k
  component block_spram
 
    generic (
 
      dwidth : integer := 8;     -- parameterized data width
 
           awidth : integer := 16     -- parameterized address width
 
         );
    port (
    port (
      clk      : in  std_logic;
      clk      : in  std_logic;
      rst      : in  std_logic;
           cs          : in std_logic; -- chip-select/enable
      cs       : in  std_logic;
           addr        : in std_logic_vector(awidth-1 downto 0);
      rw       : in  std_logic;
      rw       : in  std_logic;
      addr     : in  std_logic_vector (10 downto 0);
           data_in     : in std_logic_vector(dwidth-1 downto 0);
      data_in  : in  std_logic_vector (7 downto 0);
           data_out    : out std_logic_vector(dwidth-1 downto 0)
      data_out : out std_logic_vector (7 downto 0)
 
      );
      );
  end component;
  end component;
 
 
component RGB2HDMI_encoder
component RGB2HDMI_encoder
  port (
  port (
Line 327... Line 330...
    );
    );
 
 
--
--
-- Character buffer RAM
-- Character buffer RAM
--
--
char_buff_ram : ram_2k port map(
char_buff_ram : block_spram
 
  generic map( dwidth => 8, awidth => 11) -- 2k bytes
 
  port map(
    clk      => hdmi_clk,
    clk      => hdmi_clk,
    rst      => vdu_rst,
 
    cs       => vga_cs,
    cs       => vga_cs,
    rw       => vga_rw,
    rw       => vga_rw,
    addr     => vga_addr,
    addr     => vga_addr,
    data_in  => reg_character,
    data_in  => reg_character,
    data_out => vga_data_out
    data_out => vga_data_out
    );
    );
 
 
 
 
--
--
-- Attribute buffer RAM
-- Attribute buffer RAM
--
--
attr_buff_ram : ram_2k port map(
attr_buff_ram : block_spram
 
  generic map( dwidth => 8, awidth => 11) -- 2k bytes
 
  port map(
    clk      => hdmi_clk,
    clk      => hdmi_clk,
    rst      => vdu_rst,
 
    cs       => vga_cs,
    cs       => vga_cs,
    rw       => vga_rw,
    rw       => vga_rw,
    addr     => vga_addr,
    addr     => vga_addr,
    data_in  => reg_colour,
    data_in  => reg_colour,
    data_out => attr_data_out
    data_out => attr_data_out

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.