OpenCores
URL https://opencores.org/ocsvn/System09/System09/trunk

Subversion Repositories System09

[/] [System09/] [trunk/] [rtl/] [VHDL/] [vdu8_spram.vhd] - Diff between revs 205 and 206

Show entire file | Details | Blame | View Log

Rev 205 Rev 206
Line 124... Line 124...
-- 0.3     John Kent   2007-02-07  Added generics for VGA Timing
-- 0.3     John Kent   2007-02-07  Added generics for VGA Timing
--
--
-- 0.4     John Kent   2010-07-03  Added GPL notice. 
-- 0.4     John Kent   2010-07-03  Added GPL notice. 
--                                 Updated description.
--                                 Updated description.
--                                 Rearranged Video Timing
--                                 Rearranged Video Timing
--
-- 0.5     David Burnette 2021-02-19 Changed video memory to use parameterized block
 
--                                   memory instead of instantiating hard ram macros.
 
 
Library IEEE;
Library IEEE;
  use IEEE.std_logic_1164.all;
  use IEEE.std_logic_1164.all;
  use IEEE.numeric_std.all;
  use IEEE.numeric_std.all;
--Library unisim;
--Library unisim;
Line 267... Line 268...
      data_in  : in std_logic_vector (7 downto 0);
      data_in  : in std_logic_vector (7 downto 0);
      data_out : out std_logic_vector (7 downto 0)
      data_out : out std_logic_vector (7 downto 0)
      );
      );
  end component;
  end component;
 
 
--  component ram_2k
 
--    port (
 
--      clk      : in  std_logic;
 
--      rst      : in  std_logic;
 
--      cs       : in  std_logic;
 
--      rw       : in  std_logic;
 
--      addr     : in  std_logic_vector (10 downto 0);
 
--      data_in  : in  std_logic_vector (7 downto 0);
 
--      data_out : out std_logic_vector (7 downto 0)
 
--      );
 
--  end component;
 
 
 
  component block_spram
  component block_spram
    generic (
    generic (
      dwidth : integer := 8;     -- parameterized data width
      dwidth : integer := 8;     -- parameterized data width
           awidth : integer := 16     -- parameterized address width
           awidth : integer := 16     -- parameterized address width
Line 312... Line 302...
    );
    );
 
 
--
--
-- Character buffer RAM
-- Character buffer RAM
--
--
--char_buff_ram : ram_2k port map(
 
--    clk      => vga_clk,
 
--    rst      => vdu_rst,
 
--    cs       => vga_cs,
 
--    rw       => vga_rw,
 
--    addr     => vga_addr,
 
--    data_in  => reg_character,
 
--    data_out => vga_data_out
 
--    );
 
char_buff_ram : block_spram
char_buff_ram : block_spram
  generic map( dwidth => 8, awidth => 11) -- 2k bytes
  generic map( dwidth => 8, awidth => 11) -- 2k bytes
  port map(
  port map(
    clk      => vga_clk,
    clk      => vga_clk,
    cs       => vga_cs,
    cs       => vga_cs,
Line 336... Line 317...
 
 
 
 
--
--
-- Attribute buffer RAM
-- Attribute buffer RAM
--
--
--attr_buff_ram : ram_2k port map(
 
--    clk      => vga_clk,
 
--    rst      => vdu_rst,
 
--    cs       => vga_cs,
 
--    rw       => vga_rw,
 
--    addr     => vga_addr,
 
--    data_in  => reg_colour,
 
--    data_out => attr_data_out
 
--    );
 
attr_buff_ram : block_spram
attr_buff_ram : block_spram
  generic map( dwidth => 8, awidth => 11) -- 2k bytes
  generic map( dwidth => 8, awidth => 11) -- 2k bytes
  port map(
  port map(
    clk      => vga_clk,
    clk      => vga_clk,
    cs       => vga_cs,
    cs       => vga_cs,

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.