OpenCores
URL https://opencores.org/ocsvn/a-z80/a-z80/trunk

Subversion Repositories a-z80

[/] [a-z80/] [trunk/] [cpu/] [control/] [ir.v] - Diff between revs 8 and 13

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 8 Rev 13
Line 18... Line 18...
 
 
module ir(
module ir(
        ctl_ir_we,
        ctl_ir_we,
        clk,
        clk,
        nreset,
        nreset,
 
        hold_clk_wait,
        db,
        db,
        opcode
        opcode
);
);
 
 
 
 
input wire      ctl_ir_we;
input wire      ctl_ir_we;
input wire      clk;
input wire      clk;
input wire      nreset;
input wire      nreset;
 
input wire      hold_clk_wait;
input wire      [7:0] db;
input wire      [7:0] db;
output reg      [7:0] opcode;
output reg      [7:0] opcode;
 
 
 
wire    SYNTHESIZED_WIRE_0;
 
wire    SYNTHESIZED_WIRE_1;
 
 
 
 
 
 
 
 
 
assign  SYNTHESIZED_WIRE_0 =  ~hold_clk_wait;
 
 
 
assign  SYNTHESIZED_WIRE_1 = ctl_ir_we & SYNTHESIZED_WIRE_0;
 
 
 
 
always@(posedge clk or negedge nreset)
always@(posedge clk or negedge nreset)
begin
begin
if (!nreset)
if (!nreset)
        begin
        begin
        opcode[7:0] <= 8'b00000000;
        opcode[7:0] <= 8'b00000000;
        end
        end
else
else
if (ctl_ir_we)
if (SYNTHESIZED_WIRE_1)
        begin
        begin
        opcode[7:0] <= db[7:0];
        opcode[7:0] <= db[7:0];
        end
        end
end
end
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.