OpenCores
URL https://opencores.org/ocsvn/a-z80/a-z80/trunk

Subversion Repositories a-z80

[/] [a-z80/] [trunk/] [cpu/] [toplevel/] [simulation/] [modelsim/] [wave_fuse.do] - Diff between revs 3 and 8

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 3 Rev 8
Line 56... Line 56...
add wave -noupdate -group sequencer -expand -group M /test_fuse/dut/sequencer_/M1
add wave -noupdate -group sequencer -expand -group M /test_fuse/dut/sequencer_/M1
add wave -noupdate -group sequencer -expand -group M /test_fuse/dut/sequencer_/M2
add wave -noupdate -group sequencer -expand -group M /test_fuse/dut/sequencer_/M2
add wave -noupdate -group sequencer -expand -group M /test_fuse/dut/sequencer_/M3
add wave -noupdate -group sequencer -expand -group M /test_fuse/dut/sequencer_/M3
add wave -noupdate -group sequencer -expand -group M /test_fuse/dut/sequencer_/M4
add wave -noupdate -group sequencer -expand -group M /test_fuse/dut/sequencer_/M4
add wave -noupdate -group sequencer -expand -group M /test_fuse/dut/sequencer_/M5
add wave -noupdate -group sequencer -expand -group M /test_fuse/dut/sequencer_/M5
add wave -noupdate -group sequencer -expand -group M /test_fuse/dut/sequencer_/M6
 
add wave -noupdate -group sequencer -expand -group T /test_fuse/dut/sequencer_/T1
add wave -noupdate -group sequencer -expand -group T /test_fuse/dut/sequencer_/T1
add wave -noupdate -group sequencer -expand -group T /test_fuse/dut/sequencer_/T2
add wave -noupdate -group sequencer -expand -group T /test_fuse/dut/sequencer_/T2
add wave -noupdate -group sequencer -expand -group T /test_fuse/dut/sequencer_/T3
add wave -noupdate -group sequencer -expand -group T /test_fuse/dut/sequencer_/T3
add wave -noupdate -group sequencer -expand -group T /test_fuse/dut/sequencer_/T4
add wave -noupdate -group sequencer -expand -group T /test_fuse/dut/sequencer_/T4
add wave -noupdate -group sequencer -expand -group T /test_fuse/dut/sequencer_/T5
add wave -noupdate -group sequencer -expand -group T /test_fuse/dut/sequencer_/T5
add wave -noupdate -group sequencer -expand -group T /test_fuse/dut/sequencer_/T6
add wave -noupdate -group sequencer -expand -group T /test_fuse/dut/sequencer_/T6
add wave -noupdate -group opcode /test_fuse/dut/instruction_reg_/ctl_ir_we
add wave -noupdate -group opcode /test_fuse/dut/ir_/ctl_ir_we
add wave -noupdate -group opcode /test_fuse/dut/instruction_reg_/opcode
add wave -noupdate -group opcode /test_fuse/dut/ir_/opcode
add wave -noupdate -group db -radix hexadecimal /test_fuse/dut/db0
add wave -noupdate -group db -radix hexadecimal /test_fuse/dut/db0
add wave -noupdate -group db -radix hexadecimal /test_fuse/dut/db1
add wave -noupdate -group db -radix hexadecimal /test_fuse/dut/db1
add wave -noupdate -group db -radix hexadecimal /test_fuse/dut/db2
add wave -noupdate -group db -radix hexadecimal /test_fuse/dut/db2
add wave -noupdate -group {bus control} /test_fuse/dut/bus_control_/ctl_bus_ff_oe
add wave -noupdate -group {bus control} /test_fuse/dut/bus_control_/ctl_bus_ff_oe
add wave -noupdate -group {bus control} /test_fuse/dut/bus_control_/ctl_bus_zero_oe
add wave -noupdate -group {bus control} /test_fuse/dut/bus_control_/ctl_bus_zero_oe
add wave -noupdate -group {bus control} /test_fuse/dut/bus_control_/ctl_bus_db_oe
 
add wave -noupdate -group {bus control} /test_fuse/dut/pin_control_/bus_ab_pin_we
add wave -noupdate -group {bus control} /test_fuse/dut/pin_control_/bus_ab_pin_we
add wave -noupdate -group {bus control} /test_fuse/dut/pin_control_/bus_db_pin_oe
add wave -noupdate -group {bus control} /test_fuse/dut/pin_control_/bus_db_pin_oe
add wave -noupdate -group {bus control} /test_fuse/dut/pin_control_/bus_db_pin_re
add wave -noupdate -group {bus control} /test_fuse/dut/pin_control_/bus_db_pin_re
add wave -noupdate -group {bus control} /test_fuse/dut/fpga_reset
add wave -noupdate -group {bus control} /test_fuse/dut/fpga_reset
add wave -noupdate -group {bus control} /test_fuse/dut/nreset
add wave -noupdate -group {bus control} /test_fuse/dut/nreset
Line 152... Line 150...
add wave -noupdate -group regfile -group selects -color Gold /test_fuse/dut/reg_file_/reg_sel_sys_lo
add wave -noupdate -group regfile -group selects -color Gold /test_fuse/dut/reg_file_/reg_sel_sys_lo
add wave -noupdate -group regfile -group selects -color Gold /test_fuse/dut/reg_file_/reg_sel_sys_hi
add wave -noupdate -group regfile -group selects -color Gold /test_fuse/dut/reg_file_/reg_sel_sys_hi
add wave -noupdate -group regfile -group selects /test_fuse/dut/reg_file_/reg_sel_wz
add wave -noupdate -group regfile -group selects /test_fuse/dut/reg_file_/reg_sel_wz
add wave -noupdate -group regfile -group selects /test_fuse/dut/reg_file_/reg_sel_ir
add wave -noupdate -group regfile -group selects /test_fuse/dut/reg_file_/reg_sel_ir
add wave -noupdate -group regfile -group selects /test_fuse/dut/reg_file_/reg_sel_pc
add wave -noupdate -group regfile -group selects /test_fuse/dut/reg_file_/reg_sel_pc
 
add wave -noupdate -group regfile -group selects /test_fuse/dut/reg_file_/reg_sw_4d_lo
 
add wave -noupdate -group regfile -group selects /test_fuse/dut/reg_file_/reg_sw_4d_hi
add wave -noupdate -group regfile -radix hexadecimal /test_fuse/dut/reg_file_/db_hi_as
add wave -noupdate -group regfile -radix hexadecimal /test_fuse/dut/reg_file_/db_hi_as
add wave -noupdate -group regfile -radix hexadecimal -childformat {{{/test_fuse/dut/reg_file_/db_lo_as[7]} -radix hexadecimal} {{/test_fuse/dut/reg_file_/db_lo_as[6]} -radix hexadecimal} {{/test_fuse/dut/reg_file_/db_lo_as[5]} -radix hexadecimal} {{/test_fuse/dut/reg_file_/db_lo_as[4]} -radix hexadecimal} {{/test_fuse/dut/reg_file_/db_lo_as[3]} -radix hexadecimal} {{/test_fuse/dut/reg_file_/db_lo_as[2]} -radix hexadecimal} {{/test_fuse/dut/reg_file_/db_lo_as[1]} -radix hexadecimal} {{/test_fuse/dut/reg_file_/db_lo_as[0]} -radix hexadecimal}} -subitemconfig {{/test_fuse/dut/reg_file_/db_lo_as[7]} {-height 15 -radix hexadecimal} {/test_fuse/dut/reg_file_/db_lo_as[6]} {-height 15 -radix hexadecimal} {/test_fuse/dut/reg_file_/db_lo_as[5]} {-height 15 -radix hexadecimal} {/test_fuse/dut/reg_file_/db_lo_as[4]} {-height 15 -radix hexadecimal} {/test_fuse/dut/reg_file_/db_lo_as[3]} {-height 15 -radix hexadecimal} {/test_fuse/dut/reg_file_/db_lo_as[2]} {-height 15 -radix hexadecimal} {/test_fuse/dut/reg_file_/db_lo_as[1]} {-height 15 -radix hexadecimal} {/test_fuse/dut/reg_file_/db_lo_as[0]} {-height 15 -radix hexadecimal}} /test_fuse/dut/reg_file_/db_lo_as
add wave -noupdate -group regfile -radix hexadecimal -childformat {{{/test_fuse/dut/reg_file_/db_lo_as[7]} -radix hexadecimal} {{/test_fuse/dut/reg_file_/db_lo_as[6]} -radix hexadecimal} {{/test_fuse/dut/reg_file_/db_lo_as[5]} -radix hexadecimal} {{/test_fuse/dut/reg_file_/db_lo_as[4]} -radix hexadecimal} {{/test_fuse/dut/reg_file_/db_lo_as[3]} -radix hexadecimal} {{/test_fuse/dut/reg_file_/db_lo_as[2]} -radix hexadecimal} {{/test_fuse/dut/reg_file_/db_lo_as[1]} -radix hexadecimal} {{/test_fuse/dut/reg_file_/db_lo_as[0]} -radix hexadecimal}} -subitemconfig {{/test_fuse/dut/reg_file_/db_lo_as[7]} {-height 15 -radix hexadecimal} {/test_fuse/dut/reg_file_/db_lo_as[6]} {-height 15 -radix hexadecimal} {/test_fuse/dut/reg_file_/db_lo_as[5]} {-height 15 -radix hexadecimal} {/test_fuse/dut/reg_file_/db_lo_as[4]} {-height 15 -radix hexadecimal} {/test_fuse/dut/reg_file_/db_lo_as[3]} {-height 15 -radix hexadecimal} {/test_fuse/dut/reg_file_/db_lo_as[2]} {-height 15 -radix hexadecimal} {/test_fuse/dut/reg_file_/db_lo_as[1]} {-height 15 -radix hexadecimal} {/test_fuse/dut/reg_file_/db_lo_as[0]} {-height 15 -radix hexadecimal}} /test_fuse/dut/reg_file_/db_lo_as
add wave -noupdate -group switch /test_fuse/dut/bus_switch_/ctl_sw_mask543_en
add wave -noupdate -group switch /test_fuse/dut/bus_switch_/ctl_sw_mask543_en
add wave -noupdate -group switch /test_fuse/dut/bus_switch_/ctl_sw_1u
add wave -noupdate -group switch /test_fuse/dut/bus_switch_/ctl_sw_1u
add wave -noupdate -group switch /test_fuse/dut/bus_switch_/ctl_sw_1d
add wave -noupdate -group switch /test_fuse/dut/bus_switch_/ctl_sw_1d
add wave -noupdate -group switch /test_fuse/dut/bus_switch_/ctl_sw_2u
add wave -noupdate -group switch /test_fuse/dut/bus_switch_/ctl_sw_2u
add wave -noupdate -group switch /test_fuse/dut/bus_switch_/ctl_sw_2d
add wave -noupdate -group switch /test_fuse/dut/bus_switch_/ctl_sw_2d
add wave -noupdate -group switch -color Aquamarine /test_fuse/dut/reg_file_/ctl_sw_4d
add wave -noupdate -group switch /test_fuse/dut/reg_control_/ctl_sw_4d
add wave -noupdate -group switch -color Aquamarine /test_fuse/dut/reg_file_/ctl_sw_4u
add wave -noupdate -group switch -color Aquamarine /test_fuse/dut/reg_file_/ctl_sw_4u
add wave -noupdate -group {data pins} /test_fuse/dut/data_pins_/bus_db_pin_oe
add wave -noupdate -group {data pins} /test_fuse/dut/data_pins_/bus_db_pin_oe
add wave -noupdate -group {data pins} /test_fuse/dut/data_pins_/bus_db_pin_re
add wave -noupdate -group {data pins} /test_fuse/dut/data_pins_/bus_db_pin_re
add wave -noupdate -group {data pins} /test_fuse/dut/data_pins_/ctl_bus_db_we
add wave -noupdate -group {data pins} /test_fuse/dut/data_pins_/ctl_bus_db_we
add wave -noupdate -group {data pins} /test_fuse/dut/data_pins_/bus_db_oe
add wave -noupdate -group {data pins} /test_fuse/dut/data_pins_/ctl_bus_db_oe
add wave -noupdate -group {data pins} -radix hexadecimal /test_fuse/dut/data_pins_/D
add wave -noupdate -group {data pins} -radix hexadecimal /test_fuse/dut/data_pins_/D
add wave -noupdate -group {data pins} -radix hexadecimal /test_fuse/dut/data_pins_/db
add wave -noupdate -group {data pins} -radix hexadecimal /test_fuse/dut/data_pins_/db
add wave -noupdate -group {alu
add wave -noupdate -group {alu
 control} /test_fuse/dut/alu_control_/alu_shift_db0
 control} /test_fuse/dut/alu_control_/alu_shift_db0
add wave -noupdate -group {alu
add wave -noupdate -group {alu
Line 368... Line 368...
} -radix hexadecimal /test_fuse/dut/alu_/op2_high
} -radix hexadecimal /test_fuse/dut/alu_/op2_high
add wave -noupdate -group {alu
add wave -noupdate -group {alu
} -radix hexadecimal /test_fuse/dut/alu_/op2_low
} -radix hexadecimal /test_fuse/dut/alu_/op2_low
add wave -noupdate -group {address latch} /test_fuse/dut/address_latch_/ctl_inc_cy
add wave -noupdate -group {address latch} /test_fuse/dut/address_latch_/ctl_inc_cy
add wave -noupdate -group {address latch} /test_fuse/dut/address_latch_/ctl_inc_dec
add wave -noupdate -group {address latch} /test_fuse/dut/address_latch_/ctl_inc_dec
add wave -noupdate -group {address latch} /test_fuse/dut/address_latch_/ctl_inc_zero
add wave -noupdate -group {address latch} /test_fuse/dut/address_latch_/clrpc
add wave -noupdate -group {address latch} /test_fuse/dut/address_latch_/ctl_al_we
add wave -noupdate -group {address latch} /test_fuse/dut/address_latch_/ctl_al_we
add wave -noupdate -group {address latch} /test_fuse/dut/address_latch_/ctl_inc_limit6
add wave -noupdate -group {address latch} /test_fuse/dut/address_latch_/ctl_inc_limit6
add wave -noupdate -group {address latch} /test_fuse/dut/address_latch_/ctl_bus_inc_oe
add wave -noupdate -group {address latch} /test_fuse/dut/address_latch_/ctl_bus_inc_oe
add wave -noupdate -group {address latch} /test_fuse/dut/address_latch_/address_is_1
add wave -noupdate -group {address latch} /test_fuse/dut/address_latch_/address_is_1
add wave -noupdate -group {address latch} /test_fuse/dut/address_latch_/ctl_apin_mux
add wave -noupdate -group {address latch} /test_fuse/dut/address_latch_/ctl_apin_mux

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.