OpenCores
URL https://opencores.org/ocsvn/a-z80/a-z80/trunk

Subversion Repositories a-z80

[/] [a-z80/] [trunk/] [host/] [zxspectrum_de1/] [ula/] [ula.sv] - Diff between revs 10 and 13

Show entire file | Details | Blame | View Log

Rev 10 Rev 13
Line 145... Line 145...
always_comb
always_comb
begin
begin
    ula_data = 8'hFF;
    ula_data = 8'hFF;
    // Regular IO at every odd address: line-in and keyboard
    // Regular IO at every odd address: line-in and keyboard
    if (A[0]==0) begin
    if (A[0]==0) begin
        ula_data = { 1'b0, pcm_inl[14] | pcm_inr[14], 1'b0, key_row[4:0] };
        ula_data = { 1'b1, pcm_inl[14] | pcm_inr[14], 1'b1, key_row[4:0] };
    end
    end
end
end
 
 
endmodule
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.