OpenCores
URL https://opencores.org/ocsvn/altor32/altor32/trunk

Subversion Repositories altor32

[/] [altor32/] [trunk/] [rtl/] [cpu/] [altor32_noicache.v] - Diff between revs 27 and 32

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 27 Rev 32
Line 52... Line 52...
    input                       rd_i /*verilator public*/,
    input                       rd_i /*verilator public*/,
    input [31:0]                pc_i /*verilator public*/,
    input [31:0]                pc_i /*verilator public*/,
    output [31:0]               instruction_o /*verilator public*/,
    output [31:0]               instruction_o /*verilator public*/,
    output                      valid_o /*verilator public*/,
    output                      valid_o /*verilator public*/,
 
 
    // Memory interface (slave)
    // Memory interface
    output reg [31:0]           mem_addr_o /*verilator public*/,
    output reg [31:0]           wbm_addr_o /*verilator public*/,
    input [31:0]                mem_data_i /*verilator public*/,
    input [31:0]                wbm_dat_i /*verilator public*/,
    output reg                  mem_burst_o /*verilator public*/,
    output [2:0]                wbm_cti_o /*verilator public*/,
    output reg                  mem_rd_o /*verilator public*/,
    output reg                  wbm_cyc_o /*verilator public*/,
    input                       mem_accept_i/*verilator public*/,
    output reg                  wbm_stb_o /*verilator public*/,
    input                       mem_ack_i/*verilator public*/
    input                       wbm_stall_i/*verilator public*/,
 
    input                       wbm_ack_i/*verilator public*/
);
);
 
 
//-----------------------------------------------------------------
//-----------------------------------------------------------------
// Registers / Wires
// Registers / Wires
//-----------------------------------------------------------------
//-----------------------------------------------------------------
 
 
// Current state
// Current state
parameter STATE_CHECK       = 0;
parameter STATE_CHECK       = 0;
parameter STATE_FETCH       = 1;
parameter STATE_FETCH       = 1;
reg [1:0]                   state;
reg                        state;
 
 
assign valid_o              = mem_ack_i;
reg                        ignore_resp;
assign instruction_o        = mem_data_i;
 
 
assign valid_o              = wbm_ack_i & ~ignore_resp & ~rd_i;
 
assign instruction_o        = wbm_dat_i;
 
 
//-----------------------------------------------------------------
//-----------------------------------------------------------------
// Control logic
// Control logic
//-----------------------------------------------------------------
//-----------------------------------------------------------------
always @ (posedge rst_i or posedge clk_i )
always @ (posedge rst_i or posedge clk_i )
begin
begin
   if (rst_i == 1'b1)
   if (rst_i == 1'b1)
   begin
   begin
        mem_addr_o      <= 32'h00000000;
        wbm_addr_o      <= 32'h00000000;
        mem_rd_o        <= 1'b0;
        wbm_stb_o       <= 1'b0;
        mem_burst_o     <= 1'b0;
        wbm_cyc_o       <= 1'b0;
 
        ignore_resp     <= 1'b0;
        state           <= STATE_CHECK;
        state           <= STATE_CHECK;
   end
   end
   else
   else
   begin
   begin
 
 
        if (mem_accept_i)
        if (~wbm_stall_i)
            mem_rd_o    <= 1'b0;
            wbm_stb_o    <= 1'b0;
 
 
        case (state)
        case (state)
 
 
            //-----------------------------------------
            //-----------------------------------------
            // CHECK - check cache for hit or miss
            // CHECK - check cache for hit or miss
            //-----------------------------------------
            //-----------------------------------------
            STATE_CHECK :
            STATE_CHECK :
            begin
            begin
                // Start fetch from memory
                // Start fetch from memory
                mem_addr_o  <= pc_i;
                wbm_addr_o  <= pc_i;
                mem_rd_o    <= 1'b1;
                wbm_stb_o   <= 1'b1;
                mem_burst_o <= 1'b0;
                wbm_cyc_o   <= 1'b1;
 
                ignore_resp <= 1'b0;
                state       <= STATE_FETCH;
                state       <= STATE_FETCH;
            end
            end
            //-----------------------------------------
            //-----------------------------------------
            // FETCH - Fetch row from memory
            // FETCH - Fetch row from memory
            //-----------------------------------------
            //-----------------------------------------
            STATE_FETCH :
            STATE_FETCH :
            begin
            begin
 
                // Read whilst waiting for previous response?        
 
                if (rd_i)
 
                    ignore_resp <= 1'b1;
 
 
                // Data ready from memory?
                // Data ready from memory?
                if (mem_ack_i)
                if (wbm_ack_i)
 
                begin
 
                    wbm_cyc_o   <= 1'b0;
                    state   <= STATE_CHECK;
                    state   <= STATE_CHECK;
            end
            end
 
            end
 
 
            default:
            default:
                ;
                ;
           endcase
           endcase
   end
   end
end
end
 
 
 
assign wbm_cti_o        = 3'b111;
 
 
endmodule
endmodule
 
 
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.