OpenCores
URL https://opencores.org/ocsvn/an-fpga-implementation-of-low-latency-noc-based-mpsoc/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk

Subversion Repositories an-fpga-implementation-of-low-latency-noc-based-mpsoc

[/] [an-fpga-implementation-of-low-latency-noc-based-mpsoc/] [trunk/] [mpsoc/] [perl_gui/] [lib/] [perl/] [widget.pl] - Diff between revs 43 and 44

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 43 Rev 44
Line 369... Line 369...
                $label = Gtk2::Label->new("  $label_text") unless (defined $mnemonic);
                $label = Gtk2::Label->new("  $label_text") unless (defined $mnemonic);
                $label = Gtk2::Label->new_with_mnemonic (" $label_text") if (defined $mnemonic);
                $label = Gtk2::Label->new_with_mnemonic (" $label_text") if (defined $mnemonic);
                $box->pack_start($label, FALSE, FALSE, 0);
                $box->pack_start($label, FALSE, FALSE, 0);
        }
        }
 
 
 
 
        my $button = Gtk2::Button->new();
        my $button = Gtk2::Button->new();
        $button->add($box);
        $button->add($box);
        $button->set_border_width(0);
        $button->set_border_width(0);
        $button->show_all;
        $button->show_all;
        return $button;
        return $button;
 
}
 
 
 
sub def_button{
 
        my ($label_text)=@_;
 
        my $label = Gtk2::Label->new("$label_text");
 
        my $button= Gtk2::Button->new();
 
        $button->add($label);
 
        return $button;
}
}
 
 
 
 
sub def_image_label{
sub def_image_label{
        my ($image_file, $label_text,$mnemonic)=@_;
        my ($image_file, $label_text,$mnemonic)=@_;
Line 742... Line 748...
 add_colors_to_textview($tview);
 add_colors_to_textview($tview);
  return ($scrolled_window,$tview);
  return ($scrolled_window,$tview);
}
}
 
 
 
 
 
 
 
 
 
 
#################
#################
#       table
#       table
################
################
 
 
sub def_table{
sub def_table{

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.