OpenCores
URL https://opencores.org/ocsvn/ao486/ao486/trunk

Subversion Repositories ao486

[/] [ao486/] [trunk/] [syn/] [soc/] [system.sopcinfo] - Diff between revs 3 and 7

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 3 Rev 7
Line 1... Line 1...
 
 
 
 
 
 
 
 
 
 
 
 
  java.lang.Integer
  java.lang.Integer
  1396375035
  1408475477
  false
  false
  true
  true
  false
  false
  true
  true
 
  GENERATION_ID
 
 
 
 
  java.lang.String
  java.lang.String
  
  
  false
  false
  true
  true
  false
  false
  true
  true
 
  UNIQUE_ID
 
 
 
 
  java.lang.String
  java.lang.String
  CYCLONEIVE
  CYCLONEIVE
  false
  false
  true
  true
  false
  false
  true
  true
 
  DEVICE_FAMILY
 
 
 
 
  java.lang.String
  java.lang.String
  EP4CE115F29C7
  EP4CE115F29C7
  false
  false
  true
  true
  false
  false
  true
  true
 
  DEVICE
 
 
 
 
  java.lang.Long
  java.lang.Long
  -1
  -1
  false
  false
  true
  true
  false
  false
  true
  true
 
  CLOCK_RATE
 
  clk_sys
 
 
 
 
  java.lang.Integer
  java.lang.Integer
  -1
  -1
  false
  false
  true
  true
  false
  false
  true
  true
 
  CLOCK_DOMAIN
 
  clk_sys
 
 
 
 
  java.lang.Integer
  java.lang.Integer
  -1
  -1
  false
  false
  true
  true
  false
  false
  true
  true
 
  RESET_DOMAIN
 
  clk_sys
 
 
 
 
  java.lang.Long
  java.lang.Long
  -1
  -1
  false
  false
  true
  true
  false
  false
  true
  true
 
  CLOCK_RATE
 
  clk_vga
 
 
 
 
  java.lang.Integer
  java.lang.Integer
  -1
  -1
  false
  false
  true
  true
  false
  false
  true
  true
 
  CLOCK_DOMAIN
 
  clk_vga
 
 
 
 
  java.lang.Integer
  java.lang.Integer
  -1
  -1
  false
  false
  true
  true
  false
  false
  true
  true
 
  RESET_DOMAIN
 
  clk_vga
 
 
 
 
  java.lang.Long
  java.lang.Long
  -1
  -1
  false
  false
  true
  true
  false
  false
  true
  true
 
  CLOCK_RATE
 
  clk_sound
 
 
 
 
  java.lang.Integer
  java.lang.Integer
  -1
  -1
  false
  false
  true
  true
  false
  false
  true
  true
 
  CLOCK_DOMAIN
 
  clk_sound
 
 
 
 
  java.lang.Integer
  java.lang.Integer
  -1
  -1
  false
  false
  true
  true
  false
  false
  true
  true
 
  RESET_DOMAIN
 
  clk_sound
 
 
 
 
  java.lang.String
  java.lang.String
  Cyclone IV E
  Cyclone IV E
  false
  false
  true
  true
  false
  false
  true
  true
 
  DEVICE_FAMILY
 
 
 
 
  boolean
  boolean
  false
  false
  false
  false
  true
  true
  true
  true
  true
  true
 
 
 
 
  
the requested settings for a module instance. -->
  
  
   long
   long
   30000000
   30000000
Line 147... Line 170...
   0
   0
   false
   false
   true
   true
   false
   false
   true
   true
 
   CLOCK_RATE
 
   clk_in
  
  
  
  
   com.altera.sopcmodel.reset.Reset$Edges
   com.altera.sopcmodel.reset.Reset$Edges
   NONE
   NONE
   false
   false
Line 172... Line 197...
   false
   false
   true
   true
   true
   true
   true
   true
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    qsys.ui.export_name
    qsys.ui.export_name
Line 237... Line 262...
    Input
    Input
    1
    1
    clk
    clk
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    qsys.ui.export_name
    qsys.ui.export_name
Line 286... Line 311...
    Input
    Input
    1
    1
    reset_n
    reset_n
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    java.lang.String
    java.lang.String
Line 469... Line 494...
    ps2
    ps2
    clock
    clock
    ps2.clock
    ps2.clock
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    java.lang.String
    java.lang.String
Line 541... Line 566...
   30000000
   30000000
   false
   false
   true
   true
   false
   false
   true
   true
 
   CLOCK_RATE
 
   clock
  
  
  
  
   java.lang.String
   java.lang.String
   UNKNOWN
   UNKNOWN
   false
   false
Line 558... Line 585...
   false
   false
   true
   true
   true
   true
   true
   true
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    boolean
    boolean
Line 619... Line 646...
    Input
    Input
    1
    1
    clk
    clk
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    java.lang.String
    java.lang.String
Line 664... Line 691...
    Input
    Input
    1
    1
    reset_n
    reset_n
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    com.altera.entityinterfaces.IConnectionPoint
    com.altera.entityinterfaces.IConnectionPoint
Line 849... Line 876...
   
   
    int
    int
    0
    0
    false
    false
    true
    true
    false
    true
    true
    true
   
   
   
   
    int
    int
    0
    0
Line 989... Line 1016...
    pc_bus.mem
    pc_bus.mem
    0
    0
    4294967296
    4294967296
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
 
   
 
    boolean
 
    false
 
    true
 
    true
 
    false
 
    true
 
   
   
   
    java.lang.String
    java.lang.String
    clock
    clock
    false
    false
    true
    true
    true
    true
    true
    true
   
   
   
   
    java.lang.String
    java.lang.String
    reset_sink
    
    false
    false
    true
    true
    true
    true
    true
    true
   
   
Line 1031... Line 1066...
   false
   false
   
   
    interrupt_do
    interrupt_do
    Input
    Input
    1
    1
    export
    interrupt_do
   
   
   
   
    interrupt_vector
    interrupt_vector
    Input
    Input
    8
    8
    export
    interrupt_vector
   
   
   
   
    interrupt_done
    interrupt_done
    Output
    Output
    1
    1
    export
    interrupt_done
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    com.altera.entityinterfaces.IConnectionPoint
    com.altera.entityinterfaces.IConnectionPoint
Line 1231... Line 1266...
   
   
    int
    int
    0
    0
    false
    false
    true
    true
    false
    true
    true
    true
   
   
   
   
    int
    int
    0
    0
Line 1493... Line 1528...
    ps2.sysctl
    ps2.sysctl
    144
    144
    16
    16
   
   
  
  
  
 
   
 
   
 
    java.lang.String
 
    clock
 
    false
 
    true
 
    true
 
    true
 
   
 
   
 
    com.altera.sopcmodel.reset.Reset$Edges
 
    DEASSERT
 
    false
 
    true
 
    true
 
    true
 
   
 
   
 
    java.lang.String
 
    UNKNOWN
 
    false
 
    true
 
    true
 
    true
 
   
 
   
 
    boolean
 
    false
 
    false
 
    true
 
    true
 
    true
 
   
 
   reset
 
   false
 
   
 
    rst_internal_n
 
    Input
 
    1
 
    reset_n
 
   
 
  
 
 
 
 
 
  
the requested settings for a module instance. -->
  
  
   debug.hostConnection
   debug.hostConnection
   type jtag id 70:34|110:135
   type jtag id 70:34|110:135
Line 2070... Line 2060...
   false
   false
   true
   true
   true
   true
   true
   true
  
  
  
  
   boolean
   boolean
   false
   true
   false
   false
   true
   true
   true
   true
   true
   true
  
  
 
  
 
   boolean
 
   false
 
   false
 
   true
 
   false
 
   true
 
  
  
  
   int
   int
   0
   0
   false
   false
   false
   false
Line 2589... Line 2587...
   17
   17
   false
   false
   true
   true
   false
   false
   true
   true
 
   ADDRESS_WIDTH
 
   instruction_master
  
  
  
  
   int
   int
   28
   28
   false
   false
   true
   true
   false
   false
   true
   true
 
   ADDRESS_WIDTH
 
   data_master
  
  
  
  
   int
   int
   1
   1
   false
   false
   true
   true
   false
   false
   true
   true
 
   ADDRESS_WIDTH
 
   tightly_coupled_data_master_0
  
  
  
  
   int
   int
   1
   1
   false
   false
   true
   true
   false
   false
   true
   true
 
   ADDRESS_WIDTH
 
   tightly_coupled_data_master_1
  
  
  
  
   int
   int
   1
   1
   false
   false
   true
   true
   false
   false
   true
   true
 
   ADDRESS_WIDTH
 
   tightly_coupled_data_master_2
  
  
  
  
   int
   int
   1
   1
   false
   false
   true
   true
   false
   false
   true
   true
 
   ADDRESS_WIDTH
 
   tightly_coupled_data_master_3
  
  
  
  
   int
   int
   1
   1
   false
   false
   true
   true
   false
   false
   true
   true
 
   ADDRESS_WIDTH
 
   tightly_coupled_instruction_master_0
  
  
  
  
   int
   int
   1
   1
   false
   false
   true
   true
   false
   false
   true
   true
 
   ADDRESS_WIDTH
 
   tightly_coupled_instruction_master_1
  
  
  
  
   int
   int
   1
   1
   false
   false
   true
   true
   false
   false
   true
   true
 
   ADDRESS_WIDTH
 
   tightly_coupled_instruction_master_2
  
  
  
  
   int
   int
   1
   1
   false
   false
   true
   true
   false
   false
   true
   true
 
   ADDRESS_WIDTH
 
   tightly_coupled_instruction_master_3
  
  
  
  
   java.lang.String
   java.lang.String
   ]]>
   ]]>
   false
   false
   true
   true
   false
   false
   true
   true
 
   ADDRESS_MAP
 
   instruction_master
  
  
  
  
   java.lang.String
   java.lang.String
   ]]>
   ]]>
   false
   false
   true
   true
   false
   false
   true
   true
 
   ADDRESS_MAP
 
   data_master
  
  
  
  
   long
   long
   30000000
   30000000
   false
   false
   true
   true
   false
   false
   true
   true
 
   CLOCK_RATE
 
   clk
  
  
  
  
   java.lang.String
   java.lang.String
   CYCLONEIVE
   CYCLONEIVE
   false
   false
   true
   true
   false
   false
   true
   true
 
   DEVICE_FAMILY
  
  
  
  
   long
   long
   1
   1
   false
   false
   true
   true
   false
   false
   true
   true
 
   INTERRUPTS_USED
 
   d_irq
  
  
  
  
   java.lang.String
   java.lang.String
   ]]>
   ]]>
   false
   false
   true
   true
   false
   false
   true
   true
 
   CUSTOM_INSTRUCTION_SLAVES
 
   custom_instruction_master
  
  
  
  
   java.lang.String
   java.lang.String
   ADDRESS_STALL 1 ADVANCED_INFO 0 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 1 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 0 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 1 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 1 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_EARLY_TIMING_ESTIMATE_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 0 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_INTERFACE_PLANNER_SUPPORT 0 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LIMITED_TCL_FITTER_SUPPORT 0 HAS_LOGICAL_FLOORPLANNER_SUPPORT 0 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 1 HAS_POWER_BINNING_LIMITS_DATA 0 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 1 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO_WRITER_SUPPORT 1 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_LOW_POWER_PART 0 IS_SDM_ONLY_PACKAGE 0 LVDS_IO 1 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_SUPPORT 1 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 1 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1
   ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 1 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 0 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 1 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 1 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_EARLY_TIMING_ESTIMATE_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 0 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_INTERFACE_PLANNER_SUPPORT 0 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LIMITED_TCL_FITTER_SUPPORT 0 HAS_LOGICAL_FLOORPLANNER_SUPPORT 0 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 1 HAS_POWER_BINNING_LIMITS_DATA 0 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 1 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO_WRITER_SUPPORT 1 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_LOW_POWER_PART 0 IS_SDM_ONLY_PACKAGE 0 LVDS_IO 1 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 1 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1
   false
   false
   true
   true
   false
   false
   true
   true
 
   DEVICE_FEATURES
  
  
  
  
   java.lang.String
   java.lang.String
   
   
   false
   false
   true
   true
   false
   false
   true
   true
 
   ADDRESS_MAP
 
   tightly_coupled_data_master_0
  
  
  
  
   java.lang.String
   java.lang.String
   
   
   false
   false
   true
   true
   false
   false
   true
   true
 
   ADDRESS_MAP
 
   tightly_coupled_data_master_1
  
  
  
  
   java.lang.String
   java.lang.String
   
   
   false
   false
   true
   true
   false
   false
   true
   true
 
   ADDRESS_MAP
 
   tightly_coupled_data_master_2
  
  
  
  
   java.lang.String
   java.lang.String
   
   
   false
   false
   true
   true
   false
   false
   true
   true
 
   ADDRESS_MAP
 
   tightly_coupled_data_master_3
  
  
  
  
   java.lang.String
   java.lang.String
   
   
   false
   false
   true
   true
   false
   false
   true
   true
 
   ADDRESS_MAP
 
   tightly_coupled_instruction_master_0
  
  
  
  
   java.lang.String
   java.lang.String
   
   
   false
   false
   true
   true
   false
   false
   true
   true
 
   ADDRESS_MAP
 
   tightly_coupled_instruction_master_1
  
  
  
  
   java.lang.String
   java.lang.String
   
   
   false
   false
   true
   true
   false
   false
   true
   true
 
   ADDRESS_MAP
 
   tightly_coupled_instruction_master_2
  
  
  
  
   java.lang.String
   java.lang.String
   
   
   false
   false
   true
   true
   false
   false
   true
   true
 
   ADDRESS_MAP
 
   tightly_coupled_instruction_master_3
  
  
  
  
   java.lang.String
   java.lang.String
   UNKNOWN
   UNKNOWN
   false
   false
Line 2798... Line 2844...
   false
   false
   true
   true
   true
   true
   true
   true
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    boolean
    boolean
Line 2859... Line 2905...
    Input
    Input
    1
    1
    clk
    clk
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    java.lang.String
    java.lang.String
Line 2910... Line 2956...
    Input
    Input
    1
    1
    reset_req
    reset_req
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    debug.providesServices
    debug.providesServices
Line 3099... Line 3145...
   
   
    int
    int
    0
    0
    false
    false
    true
    true
    false
    true
    true
    true
   
   
   
   
    int
    int
    0
    0
Line 3337... Line 3383...
    driver_sd.avalon_slave_0
    driver_sd.avalon_slave_0
    0
    0
    16
    16
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    com.altera.entityinterfaces.IConnectionPoint
    com.altera.entityinterfaces.IConnectionPoint
Line 3522... Line 3568...
   
   
    int
    int
    0
    0
    false
    false
    true
    true
    false
    true
    true
    true
   
   
   
   
    int
    int
    0
    0
Line 3640... Line 3686...
    nios2.jtag_debug_module
    nios2.jtag_debug_module
    38912
    38912
    2048
    2048
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    com.altera.entityinterfaces.IConnectionPoint
    com.altera.entityinterfaces.IConnectionPoint
Line 3668... Line 3714...
    false
    false
    true
    true
    false
    false
    true
    true
   
   
 
   
 
    java.lang.String
 
    
 
    false
 
    true
 
    false
 
    true
 
   
   
   
    com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme
    com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme
    INDIVIDUAL_REQUESTS
    INDIVIDUAL_REQUESTS
    false
    false
    true
    true
Line 3708... Line 3762...
    irq
    irq
    jtag_uart.irq
    jtag_uart.irq
    0
    0
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    java.lang.String
    java.lang.String
Line 3769... Line 3823...
    Output
    Output
    1
    1
    reset
    reset
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    embeddedsw.configuration.hideDevice
    embeddedsw.configuration.hideDevice
Line 3861... Line 3915...
    false
    false
    true
    true
    true
    true
    true
    true
   
   
 
   
 
    java.math.BigInteger
 
    
 
    false
 
    true
 
    false
 
    true
 
   
   
   
    com.altera.entityinterfaces.IConnectionPoint
    com.altera.entityinterfaces.IConnectionPoint
    
    
    false
    false
    true
    true
Line 3970... Line 4032...
   
   
    int
    int
    0
    0
    false
    false
    false
    false
    false
    true
    true
    true
   
   
   
   
    int
    int
    1
    1
Line 4155... Line 4217...
   
   
  
  
  
  
     name="custom_instruction_master"
     name="custom_instruction_master"
     kind="nios_custom_instruction_master"
     kind="nios_custom_instruction_master"
     version="13.1">
     version="14.0">
   
parameters are a RESULT of the module parameters. -->
   
   
    java.lang.String
    java.lang.String
Line 4244... Line 4306...
  
  
 
 
 
 
   name="onchip_for_nios2"
   name="onchip_for_nios2"
   kind="altera_avalon_onchip_memory2"
   kind="altera_avalon_onchip_memory2"
   version="13.1"
   version="14.0"
   path="onchip_for_nios2">
   path="onchip_for_nios2">
  
the requested settings for a module instance. -->
  
  
   embeddedsw.CMacro.ALLOW_IN_SYSTEM_MEMORY_CONTENT_EDITOR
   embeddedsw.CMacro.ALLOW_IN_SYSTEM_MEMORY_CONTENT_EDITOR
Line 4488... Line 4550...
   false
   false
   true
   true
   true
   true
   true
   true
  
  
 
  
 
   boolean
 
   true
 
   false
 
   true
 
   true
 
   true
 
  
  
  
   java.lang.String
   java.lang.String
   system_onchip_for_nios2
   system_onchip_for_nios2
   false
   false
   true
   true
   false
   false
   true
   true
 
   UNIQUE_ID
  
  
  
  
   java.lang.String
   java.lang.String
   CYCLONEIVE
   CYCLONEIVE
   false
   false
   true
   true
   false
   false
   true
   true
 
   DEVICE_FAMILY
  
  
  
  
   java.lang.String
   java.lang.String
   ADDRESS_STALL 1 ADVANCED_INFO 0 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 1 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 0 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 1 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 1 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_EARLY_TIMING_ESTIMATE_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 0 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_INTERFACE_PLANNER_SUPPORT 0 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LIMITED_TCL_FITTER_SUPPORT 0 HAS_LOGICAL_FLOORPLANNER_SUPPORT 0 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 1 HAS_POWER_BINNING_LIMITS_DATA 0 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 1 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO_WRITER_SUPPORT 1 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_LOW_POWER_PART 0 IS_SDM_ONLY_PACKAGE 0 LVDS_IO 1 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_SUPPORT 1 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 1 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1
   ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 1 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 0 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 1 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 1 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_EARLY_TIMING_ESTIMATE_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 0 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_INTERFACE_PLANNER_SUPPORT 0 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LIMITED_TCL_FITTER_SUPPORT 0 HAS_LOGICAL_FLOORPLANNER_SUPPORT 0 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 1 HAS_POWER_BINNING_LIMITS_DATA 0 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 1 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO_WRITER_SUPPORT 1 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_LOW_POWER_PART 0 IS_SDM_ONLY_PACKAGE 0 LVDS_IO 1 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 1 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1
   false
   false
   true
   true
   false
   false
   true
   true
 
   DEVICE_FEATURES
  
  
  
  
   int
   int
   13
   13
   true
   true
Line 4560... Line 4633...
   false
   false
   true
   true
   true
   true
   true
   true
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    boolean
    boolean
Line 4605... Line 4678...
    Input
    Input
    1
    1
    clk
    clk
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    embeddedsw.configuration.isFlash
    embeddedsw.configuration.isFlash
Line 4689... Line 4762...
    false
    false
    true
    true
    true
    true
    true
    true
   
   
 
   
 
    java.math.BigInteger
 
    
 
    false
 
    true
 
    false
 
    true
 
   
   
   
    com.altera.entityinterfaces.IConnectionPoint
    com.altera.entityinterfaces.IConnectionPoint
    
    
    false
    false
    true
    true
Line 4798... Line 4879...
   
   
    int
    int
    0
    0
    false
    false
    false
    false
    false
    true
    true
    true
   
   
   
   
    int
    int
    1
    1
Line 4974... Line 5055...
    Input
    Input
    4
    4
    byteenable
    byteenable
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    java.lang.String
    java.lang.String
Line 5029... Line 5110...
  
  
 
 
 
 
   name="jtag_uart"
   name="jtag_uart"
   kind="altera_avalon_jtag_uart"
   kind="altera_avalon_jtag_uart"
   version="13.1"
   version="14.0"
   path="jtag_uart">
   path="jtag_uart">
  
the requested settings for a module instance. -->
  
  
   embeddedsw.CMacro.READ_DEPTH
   embeddedsw.CMacro.READ_DEPTH
Line 5160... Line 5241...
   2.0
   2.0
   false
   false
   true
   true
   false
   false
   true
   true
 
   AVALON_SPEC
  
  
  
  
   boolean
   boolean
   false
   false
   true
   true
Line 5201... Line 5283...
   false
   false
   true
   true
   true
   true
   true
   true
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    boolean
    boolean
Line 5246... Line 5328...
    Input
    Input
    1
    1
    clk
    clk
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    java.lang.String
    java.lang.String
Line 5291... Line 5373...
    Input
    Input
    1
    1
    reset_n
    reset_n
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    embeddedsw.configuration.isFlash
    embeddedsw.configuration.isFlash
Line 5375... Line 5457...
    false
    false
    true
    true
    true
    true
    true
    true
   
   
 
   
 
    java.math.BigInteger
 
    
 
    false
 
    true
 
    false
 
    true
 
   
   
   
    com.altera.entityinterfaces.IConnectionPoint
    com.altera.entityinterfaces.IConnectionPoint
    
    
    false
    false
    true
    true
Line 5484... Line 5574...
   
   
    int
    int
    0
    0
    false
    false
    false
    false
    false
    true
    true
    true
   
   
   
   
    int
    int
    1
    1
Line 5660... Line 5750...
    Output
    Output
    1
    1
    waitrequest
    waitrequest
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    com.altera.entityinterfaces.IConnectionPoint
    com.altera.entityinterfaces.IConnectionPoint
Line 5688... Line 5778...
    false
    false
    true
    true
    false
    false
    true
    true
   
   
 
   
 
    java.lang.Integer
 
    
 
    false
 
    true
 
    true
 
    true
 
   
 
   
 
    com.altera.entityinterfaces.IConnectionPoint
 
    
 
    false
 
    true
 
    true
 
    true
 
   
   
   
    com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme
    com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme
    NONE
    NONE
    false
    false
    true
    true
Line 5732... Line 5838...
   30000000
   30000000
   false
   false
   true
   true
   false
   false
   true
   true
 
   CLOCK_RATE
 
   clock
  
  
  
  
   java.lang.String
   java.lang.String
   UNKNOWN
   UNKNOWN
   false
   false
Line 5749... Line 5857...
   false
   false
   true
   true
   true
   true
   true
   true
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    boolean
    boolean
Line 5810... Line 5918...
    Input
    Input
    1
    1
    clk
    clk
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    embeddedsw.configuration.isFlash
    embeddedsw.configuration.isFlash
Line 5894... Line 6002...
    false
    false
    true
    true
    true
    true
    true
    true
   
   
 
   
 
    java.math.BigInteger
 
    
 
    false
 
    true
 
    false
 
    true
 
   
   
   
    com.altera.entityinterfaces.IConnectionPoint
    com.altera.entityinterfaces.IConnectionPoint
    
    
    false
    false
    true
    true
Line 6003... Line 6119...
   
   
    int
    int
    0
    0
    false
    false
    false
    false
    false
    true
    true
    true
   
   
   
   
    int
    int
    1
    1
Line 6155... Line 6271...
    Input
    Input
    32
    32
    writedata
    writedata
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    embeddedsw.configuration.isFlash
    embeddedsw.configuration.isFlash
Line 6239... Line 6355...
    false
    false
    true
    true
    true
    true
    true
    true
   
   
 
   
 
    java.math.BigInteger
 
    
 
    false
 
    true
 
    false
 
    true
 
   
   
   
    com.altera.entityinterfaces.IConnectionPoint
    com.altera.entityinterfaces.IConnectionPoint
    
    
    false
    false
    true
    true
Line 6348... Line 6472...
   
   
    int
    int
    0
    0
    false
    false
    false
    false
    false
    true
    true
    true
   
   
   
   
    int
    int
    1
    1
Line 6536... Line 6660...
    Input
    Input
    3
    3
    burstcount
    burstcount
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    java.lang.String
    java.lang.String
Line 6581... Line 6705...
    Input
    Input
    1
    1
    reset_n
    reset_n
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    com.altera.entityinterfaces.IConnectionPoint
    com.altera.entityinterfaces.IConnectionPoint
Line 6766... Line 6890...
   
   
    int
    int
    0
    0
    false
    false
    true
    true
    false
    true
    true
    true
   
   
   
   
    int
    int
    0
    0
Line 6906... Line 7030...
    vga.mem
    vga.mem
    655360
    655360
    131072
    131072
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    com.altera.entityinterfaces.IConnectionPoint
    com.altera.entityinterfaces.IConnectionPoint
Line 7091... Line 7215...
   
   
    int
    int
    0
    0
    false
    false
    true
    true
    false
    true
    true
    true
   
   
   
   
    int
    int
    0
    0
Line 7242... Line 7366...
   26000000
   26000000
   false
   false
   true
   true
   false
   false
   true
   true
 
   CLOCK_RATE
 
   clock_sink
  
  
  
  
   java.lang.String
   java.lang.String
   UNKNOWN
   UNKNOWN
   false
   false
Line 7259... Line 7385...
   false
   false
   true
   true
   true
   true
   true
   true
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    embeddedsw.configuration.isFlash
    embeddedsw.configuration.isFlash
Line 7343... Line 7469...
    false
    false
    true
    true
    true
    true
    true
    true
   
   
 
   
 
    java.math.BigInteger
 
    
 
    false
 
    true
 
    false
 
    true
 
   
   
   
    com.altera.entityinterfaces.IConnectionPoint
    com.altera.entityinterfaces.IConnectionPoint
    
    
    false
    false
    true
    true
Line 7452... Line 7586...
   
   
    int
    int
    0
    0
    false
    false
    false
    false
    false
    true
    true
    true
   
   
   
   
    int
    int
    1
    1
Line 7616... Line 7750...
    Input
    Input
    32
    32
    writedata
    writedata
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    embeddedsw.configuration.isFlash
    embeddedsw.configuration.isFlash
Line 7700... Line 7834...
    false
    false
    true
    true
    true
    true
    true
    true
   
   
 
   
 
    java.math.BigInteger
 
    
 
    false
 
    true
 
    false
 
    true
 
   
   
   
    com.altera.entityinterfaces.IConnectionPoint
    com.altera.entityinterfaces.IConnectionPoint
    
    
    false
    false
    true
    true
Line 7809... Line 7951...
   
   
    int
    int
    0
    0
    false
    false
    false
    false
    false
    true
    true
    true
   
   
   
   
    int
    int
    1
    1
Line 7973... Line 8115...
    Input
    Input
    8
    8
    writedata
    writedata
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    embeddedsw.configuration.isFlash
    embeddedsw.configuration.isFlash
Line 8057... Line 8199...
    false
    false
    true
    true
    true
    true
    true
    true
   
   
 
   
 
    java.math.BigInteger
 
    
 
    false
 
    true
 
    false
 
    true
 
   
   
   
    com.altera.entityinterfaces.IConnectionPoint
    com.altera.entityinterfaces.IConnectionPoint
    
    
    false
    false
    true
    true
Line 8166... Line 8316...
   
   
    int
    int
    0
    0
    false
    false
    false
    false
    false
    true
    true
    true
   
   
   
   
    int
    int
    1
    1
Line 8330... Line 8480...
    Input
    Input
    8
    8
    writedata
    writedata
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    embeddedsw.configuration.isFlash
    embeddedsw.configuration.isFlash
Line 8414... Line 8564...
    false
    false
    true
    true
    true
    true
    true
    true
   
   
 
   
 
    java.math.BigInteger
 
    
 
    false
 
    true
 
    false
 
    true
 
   
   
   
    com.altera.entityinterfaces.IConnectionPoint
    com.altera.entityinterfaces.IConnectionPoint
    
    
    false
    false
    true
    true
Line 8523... Line 8681...
   
   
    int
    int
    0
    0
    false
    false
    false
    false
    false
    true
    true
    true
   
   
   
   
    int
    int
    1
    1
Line 8687... Line 8845...
    Input
    Input
    8
    8
    writedata
    writedata
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    embeddedsw.configuration.isFlash
    embeddedsw.configuration.isFlash
Line 8771... Line 8929...
    false
    false
    true
    true
    true
    true
    true
    true
   
   
 
   
 
    java.math.BigInteger
 
    
 
    false
 
    true
 
    false
 
    true
 
   
   
   
    com.altera.entityinterfaces.IConnectionPoint
    com.altera.entityinterfaces.IConnectionPoint
    
    
    false
    false
    true
    true
Line 8880... Line 9046...
   
   
    int
    int
    0
    0
    false
    false
    false
    false
    false
    true
    true
    true
   
   
   
   
    int
    int
    1
    1
Line 9044... Line 9210...
    Input
    Input
    8
    8
    writedata
    writedata
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    boolean
    boolean
Line 9105... Line 9271...
    Input
    Input
    1
    1
    clk
    clk
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    java.lang.String
    java.lang.String
Line 9150... Line 9316...
    Input
    Input
    1
    1
    reset_n
    reset_n
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
 
   
 
    boolean
 
    true
 
    true
 
    true
 
    false
 
    true
 
   
   
   
    java.lang.String
    java.lang.String
    clock_sink
    clock_sink
    false
    false
    true
    true
Line 9238... Line 9412...
    8
    8
    export
    export
   
   
  
  
 
 
 
 
  
the requested settings for a module instance. -->
  
  
   long
   long
   26000000
   26000000
Line 9264... Line 9438...
   0
   0
   false
   false
   true
   true
   false
   false
   true
   true
 
   CLOCK_RATE
 
   clk_in
  
  
  
  
   com.altera.sopcmodel.reset.Reset$Edges
   com.altera.sopcmodel.reset.Reset$Edges
   NONE
   NONE
   false
   false
Line 9289... Line 9465...
   false
   false
   true
   true
   true
   true
   true
   true
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    qsys.ui.export_name
    qsys.ui.export_name
Line 9354... Line 9530...
    Input
    Input
    1
    1
    clk
    clk
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    qsys.ui.export_name
    qsys.ui.export_name
Line 9403... Line 9579...
    Input
    Input
    1
    1
    reset_n
    reset_n
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    java.lang.String
    java.lang.String
Line 9478... Line 9654...
    vga
    vga
    clock_sink
    clock_sink
    vga.clock_sink
    vga.clock_sink
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    java.lang.String
    java.lang.String
Line 9543... Line 9719...
  
  
 
 
 
 
   name="sdram"
   name="sdram"
   kind="altera_avalon_new_sdram_controller"
   kind="altera_avalon_new_sdram_controller"
   version="13.1"
   version="14.0"
   path="sdram">
   path="sdram">
  
the requested settings for a module instance. -->
  
  
   embeddedsw.CMacro.CAS_LATENCY
   embeddedsw.CMacro.CAS_LATENCY
Line 9834... Line 10010...
   30000000
   30000000
   false
   false
   true
   true
   false
   false
   true
   true
 
   CLOCK_RATE
 
   clk
  
  
  
  
   java.lang.String
   java.lang.String
   system_sdram
   system_sdram
   false
   false
   true
   true
   false
   false
   true
   true
 
   UNIQUE_ID
  
  
  
  
   long
   long
   134217728
   134217728
   true
   true
Line 9883... Line 10062...
   false
   false
   true
   true
   true
   true
   true
   true
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    boolean
    boolean
Line 9944... Line 10123...
    Input
    Input
    1
    1
    clk
    clk
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    java.lang.String
    java.lang.String
Line 9989... Line 10168...
    Input
    Input
    1
    1
    reset_n
    reset_n
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    embeddedsw.configuration.isFlash
    embeddedsw.configuration.isFlash
Line 10073... Line 10252...
    false
    false
    true
    true
    true
    true
    true
    true
   
   
 
   
 
    java.math.BigInteger
 
    
 
    false
 
    true
 
    false
 
    true
 
   
   
   
    com.altera.entityinterfaces.IConnectionPoint
    com.altera.entityinterfaces.IConnectionPoint
    
    
    false
    false
    true
    true
Line 10182... Line 10369...
   
   
    int
    int
    0
    0
    false
    false
    false
    false
    false
    true
    true
    true
   
   
   
   
    int
    int
    1
    1
Line 10370... Line 10557...
    Output
    Output
    1
    1
    waitrequest
    waitrequest
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
 
   
 
    boolean
 
    true
 
    true
 
    true
 
    false
 
    true
 
   
   
   
    java.lang.String
    java.lang.String
    
    
    false
    false
    true
    true
Line 10474... Line 10669...
   30000000
   30000000
   false
   false
   true
   true
   false
   false
   true
   true
 
   CLOCK_RATE
 
   clock
  
  
  
  
   java.lang.String
   java.lang.String
   UNKNOWN
   UNKNOWN
   false
   false
Line 10491... Line 10688...
   false
   false
   true
   true
   true
   true
   true
   true
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    boolean
    boolean
Line 10552... Line 10749...
    Input
    Input
    1
    1
    clk
    clk
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    embeddedsw.configuration.isFlash
    embeddedsw.configuration.isFlash
Line 10636... Line 10833...
    false
    false
    true
    true
    true
    true
    true
    true
   
   
 
   
 
    java.math.BigInteger
 
    
 
    false
 
    true
 
    false
 
    true
 
   
   
   
    com.altera.entityinterfaces.IConnectionPoint
    com.altera.entityinterfaces.IConnectionPoint
    
    
    false
    false
    true
    true
Line 10745... Line 10950...
   
   
    int
    int
    0
    0
    false
    false
    false
    false
    false
    true
    true
    true
   
   
   
   
    int
    int
    1
    1
Line 10909... Line 11114...
    Input
    Input
    8
    8
    writedata
    writedata
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    embeddedsw.configuration.isFlash
    embeddedsw.configuration.isFlash
Line 10993... Line 11198...
    false
    false
    true
    true
    true
    true
    true
    true
   
   
 
   
 
    java.math.BigInteger
 
    
 
    false
 
    true
 
    false
 
    true
 
   
   
   
    com.altera.entityinterfaces.IConnectionPoint
    com.altera.entityinterfaces.IConnectionPoint
    
    
    false
    false
    true
    true
Line 11102... Line 11315...
   
   
    int
    int
    0
    0
    false
    false
    false
    false
    false
    true
    true
    true
   
   
   
   
    int
    int
    1
    1
Line 11266... Line 11479...
    Input
    Input
    8
    8
    writedata
    writedata
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    embeddedsw.configuration.isFlash
    embeddedsw.configuration.isFlash
Line 11350... Line 11563...
    false
    false
    true
    true
    true
    true
    true
    true
   
   
 
   
 
    java.math.BigInteger
 
    
 
    false
 
    true
 
    false
 
    true
 
   
   
   
    com.altera.entityinterfaces.IConnectionPoint
    com.altera.entityinterfaces.IConnectionPoint
    
    
    false
    false
    true
    true
Line 11459... Line 11680...
   
   
    int
    int
    0
    0
    false
    false
    false
    false
    false
    true
    true
    true
   
   
   
   
    int
    int
    1
    1
Line 11611... Line 11832...
    Input
    Input
    32
    32
    writedata
    writedata
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    java.lang.String
    java.lang.String
Line 11656... Line 11877...
    Input
    Input
    1
    1
    reset_n
    reset_n
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    com.altera.entityinterfaces.IConnectionPoint
    com.altera.entityinterfaces.IConnectionPoint
Line 11684... Line 11905...
    false
    false
    true
    true
    false
    false
    true
    true
   
   
   
   
    com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme
    java.lang.Integer
    NONE
    
    false
    false
    true
    true
    false
    true
    true
    true
   
   
   
   
    java.lang.String
    com.altera.entityinterfaces.IConnectionPoint
    UNKNOWN
    
 
    false
 
    true
 
    true
 
    true
 
   
 
   
 
    com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme
 
    NONE
 
    false
 
    true
 
    false
 
    true
 
   
 
   
 
    java.lang.String
 
    UNKNOWN
    false
    false
    true
    true
    true
    true
    true
    true
   
   
Line 11717... Line 11954...
    Output
    Output
    1
    1
    irq
    irq
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    com.altera.entityinterfaces.IConnectionPoint
    com.altera.entityinterfaces.IConnectionPoint
Line 11902... Line 12139...
   
   
    int
    int
    0
    0
    false
    false
    true
    true
    false
    true
    true
    true
   
   
   
   
    int
    int
    0
    0
Line 12012... Line 12249...
    driver_sound.sound_slave
    driver_sound.sound_slave
    0
    0
    4
    4
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
 
   
 
    boolean
 
    true
 
    true
 
    true
 
    false
 
    true
 
   
   
   
    java.lang.String
    java.lang.String
    clock
    clock
    false
    false
    true
    true
Line 12063... Line 12308...
    Input
    Input
    1
    1
    export
    export
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
 
   
 
    boolean
 
    true
 
    true
 
    true
 
    false
 
    true
 
   
   
   
    java.lang.String
    java.lang.String
    clock
    clock
    false
    false
    true
    true
Line 12133... Line 12386...
    8
    8
    export
    export
   
   
  
  
 
 
 
 
  
the requested settings for a module instance. -->
  
  
   long
   long
   12000000
   12000000
Line 12159... Line 12412...
   0
   0
   false
   false
   true
   true
   false
   false
   true
   true
 
   CLOCK_RATE
 
   clk_in
  
  
  
  
   com.altera.sopcmodel.reset.Reset$Edges
   com.altera.sopcmodel.reset.Reset$Edges
   NONE
   NONE
   false
   false
Line 12184... Line 12439...
   false
   false
   true
   true
   true
   true
   true
   true
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    qsys.ui.export_name
    qsys.ui.export_name
Line 12249... Line 12504...
    Input
    Input
    1
    1
    clk
    clk
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    qsys.ui.export_name
    qsys.ui.export_name
Line 12298... Line 12553...
    Input
    Input
    1
    1
    reset_n
    reset_n
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    java.lang.String
    java.lang.String
Line 12373... Line 12628...
    driver_sound
    driver_sound
    clock_sound
    clock_sound
    driver_sound.clock_sound
    driver_sound.clock_sound
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    java.lang.String
    java.lang.String
Line 12445... Line 12700...
   30000000
   30000000
   false
   false
   true
   true
   false
   false
   true
   true
 
   CLOCK_RATE
 
   clock
  
  
  
  
   java.lang.String
   java.lang.String
   UNKNOWN
   UNKNOWN
   false
   false
Line 12462... Line 12719...
   false
   false
   true
   true
   true
   true
   true
   true
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    boolean
    boolean
Line 12523... Line 12780...
    Input
    Input
    1
    1
    clk
    clk
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    embeddedsw.configuration.isFlash
    embeddedsw.configuration.isFlash
Line 12607... Line 12864...
    false
    false
    true
    true
    true
    true
    true
    true
   
   
 
   
 
    java.math.BigInteger
 
    
 
    false
 
    true
 
    false
 
    true
 
   
   
   
    com.altera.entityinterfaces.IConnectionPoint
    com.altera.entityinterfaces.IConnectionPoint
    
    
    false
    false
    true
    true
Line 12716... Line 12981...
   
   
    int
    int
    0
    0
    false
    false
    false
    false
    false
    true
    true
    true
   
   
   
   
    int
    int
    1
    1
Line 12880... Line 13145...
    Input
    Input
    8
    8
    writedata
    writedata
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    embeddedsw.configuration.isFlash
    embeddedsw.configuration.isFlash
Line 12964... Line 13229...
    false
    false
    true
    true
    true
    true
    true
    true
   
   
 
   
 
    java.math.BigInteger
 
    
 
    false
 
    true
 
    false
 
    true
 
   
   
   
    com.altera.entityinterfaces.IConnectionPoint
    com.altera.entityinterfaces.IConnectionPoint
    
    
    false
    false
    true
    true
Line 13073... Line 13346...
   
   
    int
    int
    0
    0
    false
    false
    false
    false
    false
    true
    true
    true
   
   
   
   
    int
    int
    1
    1
Line 13225... Line 13498...
    Input
    Input
    32
    32
    writedata
    writedata
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    java.lang.String
    java.lang.String
Line 13270... Line 13543...
    Input
    Input
    1
    1
    reset_n
    reset_n
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    com.altera.entityinterfaces.IConnectionPoint
    com.altera.entityinterfaces.IConnectionPoint
Line 13298... Line 13571...
    false
    false
    true
    true
    false
    false
    true
    true
   
   
 
   
 
    java.lang.Integer
 
    
 
    false
 
    true
 
    true
 
    true
 
   
 
   
 
    com.altera.entityinterfaces.IConnectionPoint
 
    
 
    false
 
    true
 
    true
 
    true
 
   
   
   
    com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme
    com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme
    NONE
    NONE
    false
    false
    true
    true
Line 13342... Line 13631...
   30000000
   30000000
   false
   false
   true
   true
   false
   false
   true
   true
 
   CLOCK_RATE
 
   clock
  
  
  
  
   java.lang.String
   java.lang.String
   UNKNOWN
   UNKNOWN
   false
   false
Line 13359... Line 13650...
   false
   false
   true
   true
   true
   true
   true
   true
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    boolean
    boolean
Line 13420... Line 13711...
    Input
    Input
    1
    1
    clk
    clk
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    embeddedsw.configuration.isFlash
    embeddedsw.configuration.isFlash
Line 13504... Line 13795...
    false
    false
    true
    true
    true
    true
    true
    true
   
   
 
   
 
    java.math.BigInteger
 
    
 
    false
 
    true
 
    false
 
    true
 
   
   
   
    com.altera.entityinterfaces.IConnectionPoint
    com.altera.entityinterfaces.IConnectionPoint
    
    
    false
    false
    true
    true
Line 13613... Line 13912...
   
   
    int
    int
    0
    0
    false
    false
    false
    false
    false
    true
    true
    true
   
   
   
   
    int
    int
    1
    1
Line 13777... Line 14076...
    Input
    Input
    8
    8
    writedata
    writedata
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    embeddedsw.configuration.isFlash
    embeddedsw.configuration.isFlash
Line 13861... Line 14160...
    false
    false
    true
    true
    true
    true
    true
    true
   
   
 
   
 
    java.math.BigInteger
 
    
 
    false
 
    true
 
    false
 
    true
 
   
   
   
    com.altera.entityinterfaces.IConnectionPoint
    com.altera.entityinterfaces.IConnectionPoint
    
    
    false
    false
    true
    true
Line 13970... Line 14277...
   
   
    int
    int
    0
    0
    false
    false
    false
    false
    false
    true
    true
    true
   
   
   
   
    int
    int
    1
    1
Line 14122... Line 14429...
    Input
    Input
    32
    32
    writedata
    writedata
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    java.lang.String
    java.lang.String
Line 14167... Line 14474...
    Input
    Input
    1
    1
    reset_n
    reset_n
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
 
   
 
    boolean
 
    true
 
    true
 
    true
 
    false
 
    true
 
   
   
   
    java.lang.String
    java.lang.String
    clock
    clock
    false
    false
    true
    true
Line 14230... Line 14545...
    Input
    Input
    8
    8
    export
    export
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
 
   
 
    boolean
 
    true
 
    true
 
    true
 
    false
 
    true
 
   
   
   
    java.lang.String
    java.lang.String
    clock
    clock
    false
    false
    true
    true
Line 14281... Line 14604...
    Output
    Output
    1
    1
    export
    export
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    com.altera.entityinterfaces.IConnectionPoint
    com.altera.entityinterfaces.IConnectionPoint
Line 14309... Line 14632...
    false
    false
    true
    true
    false
    false
    true
    true
   
   
 
   
 
    java.lang.Integer
 
    
 
    false
 
    true
 
    true
 
    true
 
   
 
   
 
    com.altera.entityinterfaces.IConnectionPoint
 
    
 
    false
 
    true
 
    true
 
    true
 
   
   
   
    com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme
    com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme
    NONE
    NONE
    false
    false
    true
    true
Line 14353... Line 14692...
   30000000
   30000000
   false
   false
   true
   true
   false
   false
   true
   true
 
   CLOCK_RATE
 
   clock
 
  
 
  
 
   java.math.BigInteger
 
   20835
 
   false
 
   true
 
   false
 
   true
 
   INTERRUPTS_USED
 
   interrupt_receiver
  
  
  
  
   java.lang.String
   java.lang.String
   UNKNOWN
   UNKNOWN
   false
   false
Line 14370... Line 14721...
   false
   false
   true
   true
   true
   true
   true
   true
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    boolean
    boolean
Line 14431... Line 14782...
    Input
    Input
    1
    1
    clk
    clk
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    embeddedsw.configuration.isFlash
    embeddedsw.configuration.isFlash
Line 14515... Line 14866...
    false
    false
    true
    true
    true
    true
    true
    true
   
   
 
   
 
    java.math.BigInteger
 
    
 
    false
 
    true
 
    false
 
    true
 
   
   
   
    com.altera.entityinterfaces.IConnectionPoint
    com.altera.entityinterfaces.IConnectionPoint
    
    
    false
    false
    true
    true
Line 14624... Line 14983...
   
   
    int
    int
    0
    0
    false
    false
    false
    false
    false
    true
    true
    true
   
   
   
   
    int
    int
    1
    1
Line 14788... Line 15147...
    Input
    Input
    8
    8
    writedata
    writedata
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    embeddedsw.configuration.isFlash
    embeddedsw.configuration.isFlash
Line 14872... Line 15231...
    false
    false
    true
    true
    true
    true
    true
    true
   
   
 
   
 
    java.math.BigInteger
 
    
 
    false
 
    true
 
    false
 
    true
 
   
   
   
    com.altera.entityinterfaces.IConnectionPoint
    com.altera.entityinterfaces.IConnectionPoint
    
    
    false
    false
    true
    true
Line 14981... Line 15348...
   
   
    int
    int
    0
    0
    false
    false
    false
    false
    false
    true
    true
    true
   
   
   
   
    int
    int
    1
    1
Line 15145... Line 15512...
    Input
    Input
    8
    8
    writedata
    writedata
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    java.lang.String
    java.lang.String
Line 15190... Line 15557...
    Input
    Input
    1
    1
    reset_n
    reset_n
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
 
   
 
    boolean
 
    false
 
    true
 
    true
 
    false
 
    true
 
   
   
   
    java.lang.String
    java.lang.String
    clock
    clock
    false
    false
    true
    true
    true
    true
    true
    true
   
   
   
   
    java.lang.String
    java.lang.String
    reset_sink
    
    false
    false
    true
    true
    true
    true
    true
    true
   
   
Line 15229... Line 15604...
    true
    true
   
   
   conduit
   conduit
   false
   false
   
   
    interrupt_do
 
    Output
 
    1
 
    export
 
   
 
   
 
    interrupt_vector
    interrupt_vector
    Output
    Output
    8
    8
    export
    interrupt_vector
   
   
   
   
    interrupt_done
    interrupt_done
    Input
    Input
    1
    1
    export
    interrupt_done
 
   
 
   
 
    interrupt_do
 
    Output
 
    1
 
    interrupt_do
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    com.altera.entityinterfaces.IConnectionPoint
    com.altera.entityinterfaces.IConnectionPoint
Line 15275... Line 15650...
    false
    false
    true
    true
    false
    false
    true
    true
   
   
 
   
 
    java.lang.String
 
    
 
    false
 
    true
 
    false
 
    true
 
   
   
   
    com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme
    com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme
    INDIVIDUAL_REQUESTS
    INDIVIDUAL_REQUESTS
    false
    false
    true
    true
Line 15368... Line 15751...
   30000000
   30000000
   false
   false
   true
   true
   false
   false
   true
   true
 
   CLOCK_RATE
 
   clock
  
  
  
  
   java.lang.String
   java.lang.String
   UNKNOWN
   UNKNOWN
   false
   false
Line 15385... Line 15770...
   false
   false
   true
   true
   true
   true
   true
   true
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    boolean
    boolean
Line 15446... Line 15831...
    Input
    Input
    1
    1
    clk
    clk
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    embeddedsw.configuration.isFlash
    embeddedsw.configuration.isFlash
Line 15530... Line 15915...
    false
    false
    true
    true
    true
    true
    true
    true
   
   
 
   
 
    java.math.BigInteger
 
    
 
    false
 
    true
 
    false
 
    true
 
   
   
   
    com.altera.entityinterfaces.IConnectionPoint
    com.altera.entityinterfaces.IConnectionPoint
    
    
    false
    false
    true
    true
Line 15639... Line 16032...
   
   
    int
    int
    0
    0
    false
    false
    false
    false
    false
    true
    true
    true
   
   
   
   
    int
    int
    1
    1
Line 15809... Line 16202...
    Input
    Input
    32
    32
    writedata
    writedata
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    embeddedsw.configuration.isFlash
    embeddedsw.configuration.isFlash
Line 15893... Line 16286...
    false
    false
    true
    true
    true
    true
    true
    true
   
   
 
   
 
    java.math.BigInteger
 
    
 
    false
 
    true
 
    false
 
    true
 
   
   
   
    com.altera.entityinterfaces.IConnectionPoint
    com.altera.entityinterfaces.IConnectionPoint
    
    
    false
    false
    true
    true
Line 16002... Line 16403...
   
   
    int
    int
    0
    0
    false
    false
    false
    false
    false
    true
    true
    true
   
   
   
   
    int
    int
    1
    1
Line 16166... Line 16567...
    Input
    Input
    32
    32
    writedata
    writedata
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    embeddedsw.configuration.isFlash
    embeddedsw.configuration.isFlash
Line 16250... Line 16651...
    false
    false
    true
    true
    true
    true
    true
    true
   
   
 
   
 
    java.math.BigInteger
 
    
 
    false
 
    true
 
    false
 
    true
 
   
   
   
    com.altera.entityinterfaces.IConnectionPoint
    com.altera.entityinterfaces.IConnectionPoint
    
    
    false
    false
    true
    true
Line 16359... Line 16768...
   
   
    int
    int
    0
    0
    false
    false
    false
    false
    false
    true
    true
    true
   
   
   
   
    int
    int
    1
    1
Line 16511... Line 16920...
    Input
    Input
    32
    32
    writedata
    writedata
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    java.lang.String
    java.lang.String
Line 16556... Line 16965...
    Input
    Input
    1
    1
    reset_n
    reset_n
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    com.altera.entityinterfaces.IConnectionPoint
    com.altera.entityinterfaces.IConnectionPoint
Line 16584... Line 16993...
    false
    false
    true
    true
    false
    false
    true
    true
   
   
 
   
 
    java.lang.Integer
 
    
 
    false
 
    true
 
    true
 
    true
 
   
 
   
 
    com.altera.entityinterfaces.IConnectionPoint
 
    
 
    false
 
    true
 
    true
 
    true
 
   
   
   
    com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme
    com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme
    NONE
    NONE
    false
    false
    true
    true
Line 16617... Line 17042...
    Output
    Output
    1
    1
    irq
    irq
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    com.altera.entityinterfaces.IConnectionPoint
    com.altera.entityinterfaces.IConnectionPoint
Line 16802... Line 17227...
   
   
    int
    int
    0
    0
    false
    false
    true
    true
    false
    true
    true
    true
   
   
   
   
    int
    int
    0
    0
Line 16930... Line 17355...
    driver_sd.avalon_slave_0
    driver_sd.avalon_slave_0
    0
    0
    16
    16
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
 
   
 
    boolean
 
    true
 
    true
 
    true
 
    false
 
    true
 
   
   
   
    java.lang.String
    java.lang.String
    clock
    clock
    false
    false
    true
    true
Line 17004... Line 17437...
   30000000
   30000000
   false
   false
   true
   true
   false
   false
   true
   true
 
   CLOCK_RATE
 
   clock
  
  
  
  
   java.lang.String
   java.lang.String
   UNKNOWN
   UNKNOWN
   false
   false
Line 17021... Line 17456...
   false
   false
   true
   true
   true
   true
   true
   true
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    boolean
    boolean
Line 17082... Line 17517...
    Input
    Input
    1
    1
    clk
    clk
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    embeddedsw.configuration.isFlash
    embeddedsw.configuration.isFlash
Line 17166... Line 17601...
    false
    false
    true
    true
    true
    true
    true
    true
   
   
 
   
 
    java.math.BigInteger
 
    
 
    false
 
    true
 
    false
 
    true
 
   
   
   
    com.altera.entityinterfaces.IConnectionPoint
    com.altera.entityinterfaces.IConnectionPoint
    
    
    false
    false
    true
    true
Line 17275... Line 17718...
   
   
    int
    int
    0
    0
    false
    false
    false
    false
    false
    true
    true
    true
   
   
   
   
    int
    int
    1
    1
Line 17439... Line 17882...
    Input
    Input
    8
    8
    writedata
    writedata
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    embeddedsw.configuration.isFlash
    embeddedsw.configuration.isFlash
Line 17523... Line 17966...
    false
    false
    true
    true
    true
    true
    true
    true
   
   
 
   
 
    java.math.BigInteger
 
    
 
    false
 
    true
 
    false
 
    true
 
   
   
   
    com.altera.entityinterfaces.IConnectionPoint
    com.altera.entityinterfaces.IConnectionPoint
    
    
    false
    false
    true
    true
Line 17632... Line 18083...
   
   
    int
    int
    0
    0
    false
    false
    false
    false
    false
    true
    true
    true
   
   
   
   
    int
    int
    1
    1
Line 17796... Line 18247...
    Input
    Input
    8
    8
    writedata
    writedata
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    embeddedsw.configuration.isFlash
    embeddedsw.configuration.isFlash
Line 17880... Line 18331...
    false
    false
    true
    true
    true
    true
    true
    true
   
   
 
   
 
    java.math.BigInteger
 
    
 
    false
 
    true
 
    false
 
    true
 
   
   
   
    com.altera.entityinterfaces.IConnectionPoint
    com.altera.entityinterfaces.IConnectionPoint
    
    
    false
    false
    true
    true
Line 17989... Line 18448...
   
   
    int
    int
    0
    0
    false
    false
    false
    false
    false
    true
    true
    true
   
   
   
   
    int
    int
    1
    1
Line 18141... Line 18600...
    Input
    Input
    32
    32
    writedata
    writedata
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    java.lang.String
    java.lang.String
Line 18186... Line 18645...
    Input
    Input
    1
    1
    reset_n
    reset_n
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    com.altera.entityinterfaces.IConnectionPoint
    com.altera.entityinterfaces.IConnectionPoint
Line 18371... Line 18830...
   
   
    int
    int
    0
    0
    false
    false
    true
    true
    false
    true
    true
    true
   
   
   
   
    int
    int
    0
    0
Line 18499... Line 18958...
    driver_sd.avalon_slave_0
    driver_sd.avalon_slave_0
    0
    0
    16
    16
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
 
   
 
    boolean
 
    true
 
    true
 
    true
 
    false
 
    true
 
   
   
   
    java.lang.String
    java.lang.String
    clock
    clock
    false
    false
    true
    true
Line 18568... Line 19035...
    Output
    Output
    8
    8
    export
    export
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
 
   
 
    boolean
 
    true
 
    true
 
    true
 
    false
 
    true
 
   
   
   
    java.lang.String
    java.lang.String
    clock
    clock
    false
    false
    true
    true
Line 18631... Line 19106...
    Output
    Output
    8
    8
    export
    export
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    com.altera.entityinterfaces.IConnectionPoint
    com.altera.entityinterfaces.IConnectionPoint
Line 18659... Line 19134...
    false
    false
    true
    true
    false
    false
    true
    true
   
   
 
   
 
    java.lang.Integer
 
    
 
    false
 
    true
 
    true
 
    true
 
   
 
   
 
    com.altera.entityinterfaces.IConnectionPoint
 
    
 
    false
 
    true
 
    true
 
    true
 
   
   
   
    com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme
    com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme
    NONE
    NONE
    false
    false
    true
    true
Line 18703... Line 19194...
   30000000
   30000000
   false
   false
   true
   true
   false
   false
   true
   true
 
   CLOCK_RATE
 
   clock
  
  
  
  
   java.lang.String
   java.lang.String
   UNKNOWN
   UNKNOWN
   false
   false
Line 18720... Line 19213...
   false
   false
   true
   true
   true
   true
   true
   true
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    boolean
    boolean
Line 18781... Line 19274...
    Input
    Input
    1
    1
    clk
    clk
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    embeddedsw.configuration.isFlash
    embeddedsw.configuration.isFlash
Line 18865... Line 19358...
    false
    false
    true
    true
    true
    true
    true
    true
   
   
 
   
 
    java.math.BigInteger
 
    
 
    false
 
    true
 
    false
 
    true
 
   
   
   
    com.altera.entityinterfaces.IConnectionPoint
    com.altera.entityinterfaces.IConnectionPoint
    
    
    false
    false
    true
    true
Line 18974... Line 19475...
   
   
    int
    int
    0
    0
    false
    false
    false
    false
    false
    true
    true
    true
   
   
   
   
    int
    int
    1
    1
Line 19138... Line 19639...
    Input
    Input
    8
    8
    writedata
    writedata
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    embeddedsw.configuration.isFlash
    embeddedsw.configuration.isFlash
Line 19222... Line 19723...
    false
    false
    true
    true
    true
    true
    true
    true
   
   
 
   
 
    java.math.BigInteger
 
    
 
    false
 
    true
 
    false
 
    true
 
   
   
   
    com.altera.entityinterfaces.IConnectionPoint
    com.altera.entityinterfaces.IConnectionPoint
    
    
    false
    false
    true
    true
Line 19331... Line 19840...
   
   
    int
    int
    0
    0
    false
    false
    false
    false
    false
    true
    true
    true
   
   
   
   
    int
    int
    1
    1
Line 19495... Line 20004...
    Input
    Input
    8
    8
    writedata
    writedata
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    embeddedsw.configuration.isFlash
    embeddedsw.configuration.isFlash
Line 19579... Line 20088...
    false
    false
    true
    true
    true
    true
    true
    true
   
   
 
   
 
    java.math.BigInteger
 
    
 
    false
 
    true
 
    false
 
    true
 
   
   
   
    com.altera.entityinterfaces.IConnectionPoint
    com.altera.entityinterfaces.IConnectionPoint
    
    
    false
    false
    true
    true
Line 19688... Line 20205...
   
   
    int
    int
    0
    0
    false
    false
    false
    false
    false
    true
    true
    true
   
   
   
   
    int
    int
    1
    1
Line 19852... Line 20369...
    Input
    Input
    8
    8
    writedata
    writedata
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    java.lang.String
    java.lang.String
Line 19897... Line 20414...
    Input
    Input
    1
    1
    reset_n
    reset_n
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    com.altera.entityinterfaces.IConnectionPoint
    com.altera.entityinterfaces.IConnectionPoint
Line 20082... Line 20599...
   
   
    int
    int
    0
    0
    false
    false
    true
    true
    false
    true
    true
    true
   
   
   
   
    int
    int
    0
    0
Line 20210... Line 20727...
    sdram.s1
    sdram.s1
    134217728
    134217728
    134217728
    134217728
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
 
   
 
    boolean
 
    true
 
    true
 
    true
 
    false
 
    true
 
   
   
   
    java.lang.String
    java.lang.String
    clock
    clock
    false
    false
    true
    true
Line 20279... Line 20804...
    Input
    Input
    8
    8
    export
    export
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
 
   
 
    boolean
 
    true
 
    true
 
    true
 
    false
 
    true
 
   
   
   
    java.lang.String
    java.lang.String
    clock
    clock
    false
    false
    true
    true
Line 20352... Line 20885...
  
  
 
 
 
 
   name="pio_input"
   name="pio_input"
   kind="altera_avalon_pio"
   kind="altera_avalon_pio"
   version="13.1"
   version="14.0"
   path="pio_input">
   path="pio_input">
  
the requested settings for a module instance. -->
  
  
   embeddedsw.CMacro.BIT_CLEARING_EDGE_REGISTER
   embeddedsw.CMacro.BIT_CLEARING_EDGE_REGISTER
Line 20421... Line 20954...
  
  
   embeddedsw.dts.name
   embeddedsw.dts.name
   pio
   pio
  
  
  
  
   embeddedsw.dts.params.resetvalue
   embeddedsw.dts.params.altr,gpio-bank-width
   0
   8
  
  
  
  
   embeddedsw.dts.params.width
   embeddedsw.dts.params.resetvalue
   8
   0
  
  
  
  
   embeddedsw.dts.vendor
   embeddedsw.dts.vendor
   altr
   altr
  
  
Line 20527... Line 21060...
   30000000
   30000000
   false
   false
   true
   true
   false
   false
   true
   true
 
   CLOCK_RATE
 
   clk
  
  
  
  
   boolean
   boolean
   false
   false
   true
   true
Line 20608... Line 21143...
   false
   false
   true
   true
   true
   true
   true
   true
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    boolean
    boolean
Line 20669... Line 21204...
    Input
    Input
    1
    1
    clk
    clk
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    java.lang.String
    java.lang.String
Line 20714... Line 21249...
    Input
    Input
    1
    1
    reset_n
    reset_n
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    embeddedsw.configuration.isFlash
    embeddedsw.configuration.isFlash
Line 20798... Line 21333...
    false
    false
    true
    true
    true
    true
    true
    true
   
   
 
   
 
    java.math.BigInteger
 
    
 
    false
 
    true
 
    false
 
    true
 
   
   
   
    com.altera.entityinterfaces.IConnectionPoint
    com.altera.entityinterfaces.IConnectionPoint
    
    
    false
    false
    true
    true
Line 20907... Line 21450...
   
   
    int
    int
    0
    0
    false
    false
    false
    false
    false
    true
    true
    true
   
   
   
   
    int
    int
    1
    1
Line 21071... Line 21614...
    Output
    Output
    32
    32
    readdata
    readdata
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
 
   
 
    boolean
 
    true
 
    true
 
    true
 
    false
 
    true
 
   
   
   
    java.lang.String
    java.lang.String
    
    
    false
    false
    true
    true
Line 21120... Line 21671...
  
  
 
 
 
 
   name="reset_only_ao486"
   name="reset_only_ao486"
   kind="altera_reset_controller"
   kind="altera_reset_controller"
   version="13.1"
   version="14.0"
   path="reset_only_ao486">
   path="reset_only_ao486">
  
the requested settings for a module instance. -->
  
  
   int
   int
Line 21331... Line 21882...
   30000000
   30000000
   false
   false
   true
   true
   false
   false
   true
   true
 
   CLOCK_RATE
 
   clk
  
  
  
  
   java.lang.String
   java.lang.String
   UNKNOWN
   UNKNOWN
   false
   false
Line 21348... Line 21901...
   false
   false
   true
   true
   true
   true
   true
   true
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    java.lang.String
    java.lang.String
Line 21393... Line 21946...
    Input
    Input
    1
    1
    reset
    reset
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    java.lang.String
    java.lang.String
Line 21438... Line 21991...
    Input
    Input
    1
    1
    reset
    reset
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    boolean
    boolean
Line 21499... Line 22052...
    Input
    Input
    1
    1
    clk
    clk
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    java.lang.String
    java.lang.String
Line 21564... Line 22117...
  
  
 
 
 
 
   name="pio_output"
   name="pio_output"
   kind="altera_avalon_pio"
   kind="altera_avalon_pio"
   version="13.1"
   version="14.0"
   path="pio_output">
   path="pio_output">
  
the requested settings for a module instance. -->
  
  
   embeddedsw.CMacro.BIT_CLEARING_EDGE_REGISTER
   embeddedsw.CMacro.BIT_CLEARING_EDGE_REGISTER
Line 21633... Line 22186...
  
  
   embeddedsw.dts.name
   embeddedsw.dts.name
   pio
   pio
  
  
  
  
   embeddedsw.dts.params.resetvalue
   embeddedsw.dts.params.altr,gpio-bank-width
   255
   8
  
  
  
  
   embeddedsw.dts.params.width
   embeddedsw.dts.params.resetvalue
   8
   255
  
  
  
  
   embeddedsw.dts.vendor
   embeddedsw.dts.vendor
   altr
   altr
  
  
Line 21739... Line 22292...
   30000000
   30000000
   false
   false
   true
   true
   false
   false
   true
   true
 
   CLOCK_RATE
 
   clk
  
  
  
  
   boolean
   boolean
   false
   false
   true
   true
Line 21820... Line 22375...
   false
   false
   true
   true
   true
   true
   true
   true
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    boolean
    boolean
Line 21881... Line 22436...
    Input
    Input
    1
    1
    clk
    clk
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    java.lang.String
    java.lang.String
Line 21926... Line 22481...
    Input
    Input
    1
    1
    reset_n
    reset_n
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    embeddedsw.configuration.isFlash
    embeddedsw.configuration.isFlash
Line 22010... Line 22565...
    false
    false
    true
    true
    true
    true
    true
    true
   
   
 
   
 
    java.math.BigInteger
 
    
 
    false
 
    true
 
    false
 
    true
 
   
   
   
    com.altera.entityinterfaces.IConnectionPoint
    com.altera.entityinterfaces.IConnectionPoint
    
    
    false
    false
    true
    true
Line 22119... Line 22682...
   
   
    int
    int
    0
    0
    false
    false
    false
    false
    false
    true
    true
    true
   
   
   
   
    int
    int
    1
    1
Line 22283... Line 22846...
    Output
    Output
    32
    32
    readdata
    readdata
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
 
   
 
    boolean
 
    true
 
    true
 
    true
 
    false
 
    true
 
   
   
   
    java.lang.String
    java.lang.String
    
    
    false
    false
    true
    true
Line 22332... Line 22903...
  
  
 
 
 
 
   name="reset_sys"
   name="reset_sys"
   kind="altera_reset_controller"
   kind="altera_reset_controller"
   version="13.1"
   version="14.0"
   path="reset_sys">
   path="reset_sys">
  
the requested settings for a module instance. -->
  
  
   int
   int
Line 22543... Line 23114...
   30000000
   30000000
   false
   false
   true
   true
   false
   false
   true
   true
 
   CLOCK_RATE
 
   clk
  
  
  
  
   java.lang.String
   java.lang.String
   UNKNOWN
   UNKNOWN
   false
   false
Line 22560... Line 23133...
   false
   false
   true
   true
   true
   true
   true
   true
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    java.lang.String
    java.lang.String
Line 22605... Line 23178...
    Input
    Input
    1
    1
    reset
    reset
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    java.lang.String
    java.lang.String
Line 22650... Line 23223...
    Input
    Input
    1
    1
    reset
    reset
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    boolean
    boolean
Line 22711... Line 23284...
    Input
    Input
    1
    1
    clk
    clk
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    java.lang.String
    java.lang.String
Line 22787... Line 23360...
   12000000
   12000000
   false
   false
   true
   true
   false
   false
   true
   true
 
   CLOCK_RATE
 
   clock_sound
  
  
  
  
   java.lang.String
   java.lang.String
   UNKNOWN
   UNKNOWN
   false
   false
Line 22804... Line 23379...
   false
   false
   true
   true
   true
   true
   true
   true
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    embeddedsw.configuration.isFlash
    embeddedsw.configuration.isFlash
Line 22888... Line 23463...
    false
    false
    true
    true
    true
    true
    true
    true
   
   
 
   
 
    java.math.BigInteger
 
    
 
    false
 
    true
 
    false
 
    true
 
   
   
   
    com.altera.entityinterfaces.IConnectionPoint
    com.altera.entityinterfaces.IConnectionPoint
    
    
    false
    false
    true
    true
Line 22997... Line 23580...
   
   
    int
    int
    0
    0
    false
    false
    false
    false
    false
    true
    true
    true
   
   
   
   
    int
    int
    1
    1
Line 23143... Line 23726...
    Input
    Input
    1
    1
    write
    write
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    boolean
    boolean
Line 23204... Line 23787...
    Input
    Input
    1
    1
    clk
    clk
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    java.lang.String
    java.lang.String
Line 23249... Line 23832...
    Input
    Input
    1
    1
    reset_n
    reset_n
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
 
   
 
    boolean
 
    true
 
    true
 
    true
 
    false
 
    true
 
   
   
   
    java.lang.String
    java.lang.String
    clock_sound
    clock_sound
    false
    false
    true
    true
Line 23325... Line 23916...
    1
    1
    export
    export
   
   
  
  
 
 
 
 
  
the requested settings for a module instance. -->
  
  
   java.lang.Long
   java.lang.Long
   30000000
   30000000
   false
   false
   true
   true
   false
   false
   true
   true
 
   CLOCK_RATE
 
   clock
  
  
  
  
   java.lang.String
   java.lang.String
   UNKNOWN
   UNKNOWN
   false
   false
Line 23352... Line 23945...
   false
   false
   true
   true
   true
   true
   true
   true
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    boolean
    boolean
Line 23413... Line 24006...
    Input
    Input
    1
    1
    clk
    clk
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    embeddedsw.configuration.isFlash
    embeddedsw.configuration.isFlash
Line 23451... Line 24044...
    false
    false
    true
    true
   
   
   
   
    java.math.BigInteger
    java.math.BigInteger
    16
    8
    true
    true
    true
    true
    false
    false
    true
    true
   
   
Line 23497... Line 24090...
    false
    false
    true
    true
    true
    true
    true
    true
   
   
 
   
 
    java.math.BigInteger
 
    
 
    false
 
    true
 
    false
 
    true
 
   
   
   
    com.altera.entityinterfaces.IConnectionPoint
    com.altera.entityinterfaces.IConnectionPoint
    
    
    false
    false
    true
    true
Line 23541... Line 24142...
   
   
   
   
    int
    int
    0
    0
    false
    false
    false
    true
    true
    true
    true
    true
   
   
   
   
    boolean
    boolean
Line 23606... Line 24207...
   
   
    int
    int
    0
    0
    false
    false
    false
    false
    false
    true
    true
    true
   
   
   
   
    int
    int
    1
    1
Line 23645... Line 24246...
   
   
   
   
    int
    int
    1
    1
    false
    false
    false
    true
    true
    true
    true
    true
   
   
   
   
    boolean
    boolean
Line 23669... Line 24270...
   
   
   
   
    int
    int
    0
    0
    false
    false
    false
    true
    true
    true
    true
    true
   
   
   
   
    com.altera.sopcmodel.avalon.TimingUnits
    com.altera.sopcmodel.avalon.TimingUnits
    Cycles
    Cycles
    false
    false
    false
    true
    true
    true
    true
    true
   
   
   
   
    boolean
    boolean
Line 23717... Line 24318...
   
   
   
   
    int
    int
    0
    0
    false
    false
    false
    true
    true
    true
    true
    true
   
   
   
   
    java.lang.String
    java.lang.String
Line 23740... Line 24341...
    true
    true
   
   
   avalon
   avalon
   false
   false
   
   
    avs_address
    io_address
    Input
    Input
    2
    3
    address
    address
   
   
   
   
    avs_read
    io_read
    Input
    Input
    1
    1
    read
    read
   
   
   
   
    avs_readdata
    io_readdata
    Output
    Output
    32
    8
    readdata
    readdata
   
   
   
   
    avs_write
    io_write
    Input
    Input
    1
    1
    write
    write
   
   
   
   
    avs_writedata
    io_writedata
    Input
    Input
    32
    8
    writedata
    writedata
   
   
   
 
    avs_waitrequest
 
    Output
 
    1
 
    waitrequest
 
   
 
  
 
  
 
   
 
   
 
    java.lang.String
 
    clock
 
    false
 
    true
 
    true
 
    true
 
   
 
   
 
    com.altera.sopcmodel.reset.Reset$Edges
 
    DEASSERT
 
    false
 
    true
 
    true
 
    true
 
   
 
   
 
    java.lang.String
 
    UNKNOWN
 
    false
 
    true
 
    true
 
    true
 
   
 
   
 
    boolean
 
    false
 
    false
 
    true
 
    true
 
    true
 
   
 
   reset
 
   false
 
   
 
    rst_n
 
    Input
 
    1
 
    reset_n
 
   
 
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    com.altera.entityinterfaces.IConnectionPoint
    embeddedsw.configuration.isFlash
    
    0
 
   
 
   
 
    embeddedsw.configuration.isMemoryDevice
 
    0
 
   
 
   
 
    embeddedsw.configuration.isNonVolatileStorage
 
    0
 
   
 
   
 
    embeddedsw.configuration.isPrintableDevice
 
    0
 
   
 
   
 
    com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment
 
    DYNAMIC
    false
    false
    true
    true
    false
    false
    true
    true
   
   
Line 23841... Line 24407...
    false
    false
    true
    true
    false
    false
    true
    true
   
   
 
   
 
    java.math.BigInteger
 
    16
 
    true
 
    true
 
    false
 
    true
 
   
   
   
    com.altera.sopcmodel.avalon.EAddrBurstUnits
    com.altera.sopcmodel.avalon.EAddrBurstUnits
    SYMBOLS
    WORDS
    false
    false
    true
    true
    true
    true
    true
    true
   
   
Line 23881... Line 24455...
    false
    false
    true
    true
    true
    true
    true
    true
   
   
 
   
 
    java.math.BigInteger
 
    
 
    false
 
    true
 
    false
 
    true
 
   
 
   
 
    com.altera.entityinterfaces.IConnectionPoint
 
    
 
    false
 
    true
 
    false
 
    true
 
   
   
   
    boolean
    boolean
    false
    false
    false
    false
    true
    true
Line 23905... Line 24495...
    false
    false
    true
    true
    false
    false
    true
    true
   
   
   
   
    boolean
    java.math.BigInteger
    false
    0
    false
 
    true
 
    false
 
    true
 
   
 
   
 
    boolean
 
    false
 
    false
 
    true
 
    true
 
    true
 
   
 
   
 
    boolean
 
    false
 
    false
    false
    true
    true
    true
    true
    true
    true
   
   
Line 23945... Line 24519...
    false
    false
    true
    true
    false
    false
    true
    true
   
   
   
   
    boolean
    boolean
    false
    false
    false
    false
    true
    true
    false
    false
    true
    true
   
   
   
   
    boolean
    boolean
    false
    false
    false
    false
    true
    true
    false
    false
    true
    true
   
   
   
   
    boolean
    boolean
    false
    false
    false
    false
    true
    true
    false
    false
    true
    true
   
   
   
   
    boolean
    boolean
    false
    false
    false
    false
    true
    true
    false
    false
Line 23985... Line 24559...
    false
    false
    true
    true
    true
    true
    true
    true
   
   
   
   
    int
    int
    32
    0
    false
    false
    true
    false
    false
    true
    true
    true
   
   
   
   
    int
    int
    0
    0
    false
    false
    true
    false
    true
    true
    true
    true
   
   
   
   
    int
    int
    0
    1
 
    false
 
    true
 
    false
 
    true
 
   
 
   
 
    boolean
 
    false
    false
    false
    true
    true
    false
    false
    true
    true
   
   
Line 24017... Line 24599...
    false
    false
    true
    true
    true
    true
    true
    true
   
   
 
   
 
    int
 
    1
 
    false
 
    true
 
    false
 
    true
 
   
   
   
    int
    int
    1
    1
    false
    false
    true
    true
Line 24057... Line 24647...
    false
    false
    true
    true
    true
    true
    true
    true
   
   
 
   
 
    boolean
 
    false
 
    false
 
    true
 
    false
 
    true
 
   
 
   
 
    boolean
 
    false
 
    false
 
    true
 
    false
 
    true
 
   
 
   
 
    int
 
    0
 
    false
 
    true
 
    false
 
    true
 
   
 
   
 
    int
 
    0
 
    false
 
    true
 
    false
 
    true
 
   
   
   
    int
    int
    0
    0
    false
    false
    true
    true
Line 24082... Line 24704...
    true
    true
    true
    true
    true
    true
   
   
   avalon
   avalon
   true
   false
   
   
    avm_waitrequest
    sysctl_address
    Input
    Input
    1
    4
    waitrequest
    address
   
   
   
   
    avm_read
    sysctl_read
    Output
    Input
    1
    1
    read
    read
   
   
   
   
    avm_readdata
    sysctl_readdata
    Input
    Output
    32
    8
    readdata
    readdata
   
   
   
   
    avm_readdatavalid
    sysctl_write
    Input
    Input
    1
    1
    readdatavalid
 
   
 
   
 
    avm_write
 
    Output
 
    1
 
    write
    write
   
   
   
   
    avm_writedata
    sysctl_writedata
    Output
    Input
    32
    8
    writedata
    writedata
   
   
   
 
    avm_address
 
    Output
 
    32
 
    address
 
   
 
   
 
    false
 
    floppy
 
    sd_slave
 
    floppy.sd_slave
 
    2048
 
    512
 
   
 
   
 
    false
 
    hdd
 
    sd_slave
 
    hdd.sd_slave
 
    0
 
    2048
 
   
 
   
 
    false
 
    sdram
 
    s1
 
    sdram.s1
 
    134217728
 
    134217728
 
   
 
   
 
    false
 
    onchip_for_nios2
 
    s1
 
    onchip_for_nios2.s1
 
    65536
 
    32768
 
   
 
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    java.lang.String
    java.lang.String
Line 24170... Line 24748...
    false
    false
    true
    true
    true
    true
    true
    true
   
   
   
   
    java.lang.String
    com.altera.sopcmodel.reset.Reset$Edges
    reset_sink
    DEASSERT
    false
    false
    true
    true
    true
    true
    true
    true
   
   
Line 24194... Line 24772...
    false
    false
    true
    true
    true
    true
    true
    true
   
   
   conduit
   reset
   false
   false
   
   
    sd_clk
    rst_n
    Output
    Input
    1
 
    export
 
   
 
   
 
    sd_dat
 
    Bidir
 
    4
 
    export
 
   
 
   
 
    sd_cmd
 
    Bidir
 
    1
    1
    export
    reset_n
   
   
  
  
 
  
 
   
parameters are a RESULT of the module parameters. -->
  
   
   java.lang.Long
    com.altera.entityinterfaces.IConnectionPoint
   30000000
    ps2.io
 
    false
 
    true
 
    true
 
    true
 
   
 
   
 
    java.lang.String
 
    clock
   false
   false
   true
   true
   false
   false
   true
   true
  
  
  
   
   java.lang.String
   java.lang.String
   UNKNOWN
    reset_sink
   false
   false
   true
   true
   true
    false
   true
   true
  
  
  
   
   boolean
    java.lang.Integer
   false
    
   false
   false
   true
   true
   true
   true
   true
   true
  
  
  
   
   
 
   
 
    boolean
 
    false
 
    false
    false
    true
    true
    false
    true
    true
    true
   
   
   
   
    java.lang.String
    com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme
    
    NONE
    false
    false
    true
    true
    false
    false
    true
    true
   
   
Line 24279... Line 24849...
    false
    false
    true
    true
    true
    true
    true
    true
   
   
   
   interrupt
    java.lang.Boolean
   false
 
   
 
    irq_mouse
 
    Output
 
    1
 
    irq
 
   
 
  
 
  
 
   
 
   
 
    boolean
    true
    true
    true
    true
    true
    true
    false
    false
    true
    true
   
   
   
   
    java.lang.Long
    java.lang.String
    30000000
    clock
    true
    false
 
    true
 
    true
 
    true
 
   
 
   
 
    java.lang.String
 
    reset_sink
 
    false
 
    true
 
    true
 
    true
 
   
 
   
 
    java.lang.String
 
    UNKNOWN
 
    false
 
    true
 
    true
 
    true
 
   
 
   
 
    boolean
 
    false
 
    false
 
    true
 
    true
 
    true
 
   
 
   conduit
 
   false
 
   
 
    ps2_kbclk
 
    Bidir
 
    1
 
    export
 
   
 
   
 
    ps2_kbdat
 
    Bidir
 
    1
 
    export
 
   
 
   
 
    ps2_mouseclk
 
    Bidir
 
    1
 
    export
 
   
 
   
 
    ps2_mousedat
 
    Bidir
 
    1
 
    export
 
   
 
  
 
  
 
   
 
   
 
    com.altera.entityinterfaces.IConnectionPoint
 
    ps2.sysctl
 
    false
 
    true
 
    true
 
    true
 
   
 
   
 
    java.lang.String
 
    clock
 
    false
 
    true
 
    false
 
    true
 
   
 
   
 
    java.lang.String
 
    reset_sink
 
    false
 
    true
 
    false
 
    true
 
   
 
   
 
    java.lang.Integer
 
    
 
    false
 
    true
 
    true
 
    true
 
   
 
   
 
    com.altera.entityinterfaces.IConnectionPoint
 
    
 
    false
 
    true
 
    true
 
    true
 
   
 
   
 
    com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme
 
    NONE
 
    false
 
    true
 
    false
 
    true
 
   
 
   
 
    java.lang.String
 
    UNKNOWN
 
    false
 
    true
 
    true
 
    true
 
   
 
   
 
    boolean
 
    false
 
    false
 
    true
 
    true
 
    true
 
   
 
   interrupt
 
   false
 
   
 
    irq_keyb
 
    Output
 
    1
 
    irq
 
   
 
  
 
  
 
   
 
   
 
    boolean
 
    true
 
    true
 
    true
 
    false
 
    true
 
   
 
   
 
    java.lang.String
 
    clock
 
    false
 
    true
 
    true
 
    true
 
   
 
   
 
    java.lang.String
 
    reset_sink
 
    false
 
    true
 
    true
 
    true
 
   
 
   
 
    java.lang.String
 
    UNKNOWN
 
    false
 
    true
 
    true
 
    true
 
   
 
   
 
    boolean
 
    false
 
    false
 
    true
 
    true
 
    true
 
   
 
   conduit
 
   false
 
   
 
    speaker_61h_read
 
    Output
 
    1
 
    export
 
   
 
   
 
    speaker_61h_readdata
 
    Input
 
    8
 
    export
 
   
 
   
 
    speaker_61h_write
 
    Output
 
    1
 
    export
 
   
 
   
 
    speaker_61h_writedata
 
    Output
 
    8
 
    export
 
   
 
  
 
  
 
   
 
   
 
    boolean
 
    true
 
    true
 
    true
 
    false
 
    true
 
   
 
   
 
    java.lang.String
 
    clock
 
    false
 
    true
 
    true
 
    true
 
   
 
   
 
    java.lang.String
 
    reset_sink
 
    false
 
    true
 
    true
 
    true
 
   
 
   
 
    java.lang.String
 
    UNKNOWN
 
    false
 
    true
 
    true
 
    true
 
   
 
   
 
    boolean
 
    false
 
    false
 
    true
 
    true
 
    true
 
   
 
   conduit
 
   false
 
   
 
    output_a20_enable
 
    Output
 
    1
 
    export
 
   
 
   
 
    output_reset_n
 
    Output
 
    1
 
    export
 
   
 
  
 
 
 
 
 
  
 
  
 
   java.lang.Long
 
   30000000
 
   false
 
   true
 
   false
 
   true
 
   CLOCK_RATE
 
   clock
 
  
 
  
 
   java.lang.String
 
   UNKNOWN
 
   false
 
   true
 
   true
 
   true
 
  
 
  
 
   boolean
 
   false
 
   false
 
   true
 
   true
 
   true
 
  
 
  
 
   
 
   
 
    boolean
 
    false
 
    false
 
    true
 
    false
 
    true
 
   
 
   
 
    java.lang.String
 
    
 
    false
 
    true
 
    false
 
    true
 
   
 
   
 
    java.lang.String
 
    UNKNOWN
 
    false
 
    true
 
    true
 
    true
 
   
 
   
 
    boolean
 
    false
 
    false
 
    true
 
    true
 
    true
 
   
 
   
 
    java.lang.Boolean
 
    true
 
    true
 
    true
 
    false
 
    true
 
   
 
   
 
    java.lang.Long
 
    30000000
 
    true
    true
    true
    false
    false
    true
    true
   
   
   clock
   clock
Line 24304... Line 25227...
    Input
    Input
    1
    1
    clk
    clk
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    embeddedsw.configuration.isFlash
    embeddedsw.configuration.isFlash
Line 24342... Line 25265...
    false
    false
    true
    true
   
   
   
   
    java.math.BigInteger
    java.math.BigInteger
    8
    16
    true
    true
    true
    true
    false
    false
    true
    true
   
   
Line 24388... Line 25311...
    false
    false
    true
    true
    true
    true
    true
    true
   
   
 
   
 
    java.math.BigInteger
 
    
 
    false
 
    true
 
    false
 
    true
 
   
   
   
    com.altera.entityinterfaces.IConnectionPoint
    com.altera.entityinterfaces.IConnectionPoint
    
    
    false
    false
    true
    true
Line 24497... Line 25428...
   
   
    int
    int
    0
    0
    false
    false
    false
    false
    false
    true
    true
    true
   
   
   
   
    int
    int
    1
    1
Line 24631... Line 25562...
    true
    true
   
   
   avalon
   avalon
   false
   false
   
   
    io_address
    avs_address
    Input
    Input
    3
    2
    address
    address
   
   
   
   
    io_read
    avs_read
    Input
    Input
    1
    1
    read
    read
   
   
   
   
    io_readdata
    avs_readdata
    Output
    Output
    8
    32
    readdata
    readdata
   
   
   
   
    io_write
    avs_write
    Input
    Input
    1
    1
    write
    write
   
   
   
   
    io_writedata
    avs_writedata
    Input
    Input
    8
    32
    writedata
    writedata
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    embeddedsw.configuration.isFlash
    com.altera.entityinterfaces.IConnectionPoint
    0
    
   
 
   
 
    embeddedsw.configuration.isMemoryDevice
 
    0
 
   
 
   
 
    embeddedsw.configuration.isNonVolatileStorage
 
    0
 
   
 
   
 
    embeddedsw.configuration.isPrintableDevice
 
    0
 
   
 
   
 
    com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment
 
    DYNAMIC
 
    false
    false
    true
    true
    false
    false
    true
    true
   
   
Line 24697... Line 25612...
    false
    false
    true
    true
    false
    false
    true
    true
   
   
   
 
    java.math.BigInteger
 
    16
 
    true
 
    true
 
    false
 
    true
 
   
 
   
   
    com.altera.sopcmodel.avalon.EAddrBurstUnits
    com.altera.sopcmodel.avalon.EAddrBurstUnits
    WORDS
    SYMBOLS
    false
    false
    true
    true
    true
    true
    true
    true
   
   
Line 24745... Line 25652...
    false
    false
    true
    true
    true
    true
    true
    true
   
   
   
 
    com.altera.entityinterfaces.IConnectionPoint
 
    
 
    false
 
    true
 
    false
 
    true
 
   
 
   
   
    boolean
    boolean
    false
    false
    false
    false
    true
    true
Line 24777... Line 25676...
    false
    false
    true
    true
    false
    false
    true
    true
   
   
   
   
    java.math.BigInteger
    boolean
    0
    false
    false
 
    true
 
    true
 
    true
 
   
 
   
 
    int
 
    0
 
    false
    false
    true
    true
    true
    false
    true
    true
   
   
   
   
    boolean
    boolean
    false
    false
    false
    false
    true
    true
    false
    true
    true
    true
   
   
   
   
    boolean
    boolean
    false
    false
    false
    false
    true
    true
    false
    true
    true
    true
   
   
   
   
    boolean
    int
    false
    0
    false
    false
    true
    true
    false
    true
    true
    true
   
   
   
   
    boolean
    boolean
    false
    false
    false
    false
    true
    true
    false
    false
    true
    true
   
   
   
   
    boolean
    boolean
    false
    false
    false
    false
    true
    true
    false
    false
    true
    true
   
   
   
   
    boolean
    boolean
    false
    false
    false
    false
    true
    true
    true
 
    true
 
   
 
   
 
    int
 
    0
 
    false
 
    false
 
    true
 
    true
 
   
 
   
 
    int
 
    0
 
    false
 
    false
 
    false
    false
    true
    true
   
   
   
   
    int
    boolean
    1
    false
    false
    false
    true
    true
    false
    false
    true
    true
   
   
   
   
    boolean
    boolean
    false
    false
    false
    false
    true
    true
    false
    false
    true
    true
   
   
   
   
    int
    boolean
    0
    false
    false
    false
    true
    true
    true
    true
    true
    true
   
   
   
   
    int
    int
    1
    32
    false
    false
    true
    true
    false
    false
    true
    true
   
   
   
   
    int
    int
    1
    0
    false
    false
    true
    true
    true
    true
    true
    true
   
   
   
   
    boolean
    int
    false
    0
    false
 
    true
 
    false
 
    true
 
   
 
   
 
    boolean
 
    false
 
    false
    false
    true
    true
    false
    true
    true
    true
   
   
   
   
    int
    int
    0
    0
    false
    false
    true
    true
    true
    true
    true
    true
   
   
   
   
    com.altera.sopcmodel.avalon.TimingUnits
    int
    Cycles
    1
    false
    false
    true
    true
    true
    true
    true
    true
   
   
   
   
    boolean
    boolean
    false
    false
    false
    false
    true
    true
    false
    false
    true
    true
   
   
   
   
    boolean
    boolean
    false
    false
    false
    false
    true
    true
    false
    false
    true
    true
   
   
   
   
    int
    int
    0
    0
    false
    false
    true
    true
    false
    true
    true
    true
   
   
   
   
    int
    com.altera.sopcmodel.avalon.TimingUnits
    0
    Cycles
    false
    false
    true
    true
    false
    true
    true
    true
   
   
   
   
    int
    int
    0
    0
Line 24986... Line 25853...
    true
    true
    true
    true
    true
    true
   
   
   avalon
   avalon
   false
   true
   
   
    sysctl_address
    avm_waitrequest
    Input
    Input
    4
    1
    address
    waitrequest
   
   
   
   
    sysctl_read
    avm_read
    Input
    Output
    1
    1
    read
    read
   
   
   
   
    sysctl_readdata
    avm_readdata
    Output
    Input
    8
    32
    readdata
    readdata
   
   
   
   
    sysctl_write
    avm_readdatavalid
    Input
    Input
    1
    1
 
    readdatavalid
 
   
 
   
 
    avm_write
 
    Output
 
    1
    write
    write
   
   
   
   
    sysctl_writedata
    avm_writedata
    Input
    Output
    8
    32
    writedata
    writedata
   
   
 
   
 
    avm_address
 
    Output
 
    32
 
    address
 
   
 
   
 
    false
 
    floppy
 
    sd_slave
 
    floppy.sd_slave
 
    2048
 
    512
 
   
 
   
 
    false
 
    hdd
 
    sd_slave
 
    hdd.sd_slave
 
    0
 
    2048
 
   
 
   
 
    false
 
    sdram
 
    s1
 
    sdram.s1
 
    134217728
 
    134217728
 
   
 
   
 
    false
 
    onchip_for_nios2
 
    s1
 
    onchip_for_nios2.s1
 
    65536
 
    32768
 
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
   
   
    java.lang.String
    java.lang.String
Line 25063... Line 25974...
    Input
    Input
    1
    1
    reset_n
    reset_n
   
   
  
  
  
  
   
 
   
 
    com.altera.entityinterfaces.IConnectionPoint
 
    ps2.io
 
    false
 
    true
 
    true
 
    true
 
   
 
   
 
    java.lang.String
 
    clock
 
    false
 
    true
 
    false
 
    true
 
   
 
   
 
    java.lang.String
 
    reset_sink
 
    false
 
    true
 
    false
 
    true
 
   
 
   
 
    com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme
 
    NONE
 
    false
 
    true
 
    false
 
    true
 
   
 
   
 
    java.lang.String
 
    UNKNOWN
 
    false
 
    true
 
    true
 
    true
 
   
 
   
 
    boolean
 
    false
 
    false
 
    true
 
    true
 
    true
 
   
 
   interrupt
 
   false
 
   
 
    irq_mouse
 
    Output
 
    1
 
    irq
 
   
 
  
 
  
 
   
parameters are a RESULT of the module parameters. -->
   
   
    java.lang.String
 
    clock
 
    false
 
    true
 
    true
 
    true
 
   
 
   
 
    java.lang.String
 
    reset_sink
 
    false
 
    true
 
    true
 
    true
 
   
 
   
 
    java.lang.String
 
    UNKNOWN
 
    false
 
    true
 
    true
 
    true
 
   
 
   
 
    boolean
    boolean
    false
    false
    false
    true
    true
 
    true
 
    true
 
   
 
   conduit
 
   false
 
   
 
    ps2_kbclk
 
    Bidir
 
    1
 
    export
 
   
 
   
 
    ps2_kbdat
 
    Bidir
 
    1
 
    export
 
   
 
   
 
    ps2_mouseclk
 
    Bidir
 
    1
 
    export
 
   
 
   
 
    ps2_mousedat
 
    Bidir
 
    1
 
    export
 
   
 
  
 
  
 
   
 
   
 
    com.altera.entityinterfaces.IConnectionPoint
 
    ps2.sysctl
 
    false
 
    true
    true
    true
    false
    true
    true
   
   
   
   
    java.lang.String
    java.lang.String
    clock
    clock
    false
    false
    true
    true
    false
    true
    true
    true
   
   
   
   
    java.lang.String
    java.lang.String
    reset_sink
    reset_sink
    false
    false
    true
    true
    false
    true
    true
 
   
 
   
 
    com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme
 
    NONE
 
    false
 
    true
 
    false
 
    true
    true
   
   
   
   
    java.lang.String
    java.lang.String
    UNKNOWN
    UNKNOWN
Line 25239... Line 26018...
    false
    false
    true
    true
    true
    true
    true
    true
   
   
   interrupt
   conduit
   false
   false
   
   
    irq_keyb
    sd_cmd
    Output
    Bidir
    1
    1
    irq
    export
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
 
   
 
    boolean
 
    false
 
    true
 
    true
 
    false
 
    true
 
   
   
   
    java.lang.String
    java.lang.String
    clock
    clock
    false
    false
    true
    true
Line 25287... Line 26074...
    true
    true
   
   
   conduit
   conduit
   false
   false
   
   
    speaker_61h_read
    sd_dat
    Output
    Bidir
    1
    4
    export
 
   
 
   
 
    speaker_61h_readdata
 
    Input
 
    8
 
    export
 
   
 
   
 
    speaker_61h_write
 
    Output
 
    1
 
    export
 
   
 
   
 
    speaker_61h_writedata
 
    Output
 
    8
 
    export
    export
   
   
  
  
  
  
   
parameters are a RESULT of the module parameters. -->
 
   
 
    boolean
 
    false
 
    true
 
    true
 
    false
 
    true
 
   
   
   
    java.lang.String
    java.lang.String
    clock
    clock
    false
    false
    true
    true
Line 25350... Line 26127...
    true
    true
   
   
   conduit
   conduit
   false
   false
   
   
    output_a20_enable
    sd_clk
    Output
 
    1
 
    export
 
   
 
   
 
    output_reset_n
 
    Output
    Output
    1
    1
    export
    export
   
   
  
  
 
 
 
 
   name="clk_sys.clk/ao486.clock"
   name="clk_sys.clk/ao486.clock"
   kind="clock"
   kind="clock"
   version="13.1"
   version="14.0"
   start="clk_sys.clk"
   start="clk_sys.clk"
   end="ao486.clock">
   end="ao486.clock">
  
  
   java.lang.String
   java.lang.String
   UNKNOWN
   UNKNOWN
Line 25393... Line 26164...
  clock
  clock
 
 
 
 
   name="nios2.data_master/nios2.jtag_debug_module"
   name="nios2.data_master/nios2.jtag_debug_module"
   kind="avalon"
   kind="avalon"
   version="13.1"
   version="14.0"
   start="nios2.data_master"
   start="nios2.data_master"
   end="nios2.jtag_debug_module">
   end="nios2.jtag_debug_module">
  
  
   int
   int
   1
   1
Line 25444... Line 26215...
  jtag_debug_module
  jtag_debug_module
 
 
 
 
   name="clk_sys.clk/nios2.clk"
   name="clk_sys.clk/nios2.clk"
   kind="clock"
   kind="clock"
   version="13.1"
   version="14.0"
   start="clk_sys.clk"
   start="clk_sys.clk"
   end="nios2.clk">
   end="nios2.clk">
  
  
   java.lang.String
   java.lang.String
   UNKNOWN
   UNKNOWN
Line 25471... Line 26242...
  clk
  clk
 
 
 
 
   name="nios2.instruction_master/onchip_for_nios2.s1"
   name="nios2.instruction_master/onchip_for_nios2.s1"
   kind="avalon"
   kind="avalon"
   version="13.1"
   version="14.0"
   start="nios2.instruction_master"
   start="nios2.instruction_master"
   end="onchip_for_nios2.s1">
   end="onchip_for_nios2.s1">
  
  
   int
   int
   1
   1
Line 25522... Line 26293...
  s1
  s1
 
 
 
 
   name="nios2.data_master/onchip_for_nios2.s1"
   name="nios2.data_master/onchip_for_nios2.s1"
   kind="avalon"
   kind="avalon"
   version="13.1"
   version="14.0"
   start="nios2.data_master"
   start="nios2.data_master"
   end="onchip_for_nios2.s1">
   end="onchip_for_nios2.s1">
  
  
   int
   int
   1
   1
Line 25573... Line 26344...
  s1
  s1
 
 
 
 
   name="clk_sys.clk/onchip_for_nios2.clk1"
   name="clk_sys.clk/onchip_for_nios2.clk1"
   kind="clock"
   kind="clock"
   version="13.1"
   version="14.0"
   start="clk_sys.clk"
   start="clk_sys.clk"
   end="onchip_for_nios2.clk1">
   end="onchip_for_nios2.clk1">
  
  
   java.lang.String
   java.lang.String
   UNKNOWN
   UNKNOWN
Line 25600... Line 26371...
  clk1
  clk1
 
 
 
 
   name="clk_sys.clk/jtag_uart.clk"
   name="clk_sys.clk/jtag_uart.clk"
   kind="clock"
   kind="clock"
   version="13.1"
   version="14.0"
   start="clk_sys.clk"
   start="clk_sys.clk"
   end="jtag_uart.clk">
   end="jtag_uart.clk">
  
  
   java.lang.String
   java.lang.String
   UNKNOWN
   UNKNOWN
Line 25627... Line 26398...
  clk
  clk
 
 
 
 
   name="clk_sys.clk/pc_bus.clock"
   name="clk_sys.clk/pc_bus.clock"
   kind="clock"
   kind="clock"
   version="13.1"
   version="14.0"
   start="clk_sys.clk"
   start="clk_sys.clk"
   end="pc_bus.clock">
   end="pc_bus.clock">
  
  
   java.lang.String
   java.lang.String
   UNKNOWN
   UNKNOWN
Line 25654... Line 26425...
  clock
  clock
 
 
 
 
   name="nios2.data_master/pc_bus.ctrl"
   name="nios2.data_master/pc_bus.ctrl"
   kind="avalon"
   kind="avalon"
   version="13.1"
   version="14.0"
   start="nios2.data_master"
   start="nios2.data_master"
   end="pc_bus.ctrl">
   end="pc_bus.ctrl">
  
  
   int
   int
   1
   1
Line 25705... Line 26476...
  ctrl
  ctrl
 
 
 
 
   name="clk_vga.clk/vga.clock_sink"
   name="clk_vga.clk/vga.clock_sink"
   kind="clock"
   kind="clock"
   version="13.1"
   version="14.0"
   start="clk_vga.clk"
   start="clk_vga.clk"
   end="vga.clock_sink">
   end="vga.clock_sink">
  
  
   java.lang.String
   java.lang.String
   UNKNOWN
   UNKNOWN
Line 25732... Line 26503...
  clock_sink
  clock_sink
 
 
 
 
   name="nios2.data_master/vga.sys"
   name="nios2.data_master/vga.sys"
   kind="avalon"
   kind="avalon"
   version="13.1"
   version="14.0"
   start="nios2.data_master"
   start="nios2.data_master"
   end="vga.sys">
   end="vga.sys">
  
  
   int
   int
   1
   1
Line 25783... Line 26554...
  sys
  sys
 
 
 
 
   name="pc_bus.avalon_vga_master/vga.mem"
   name="pc_bus.avalon_vga_master/vga.mem"
   kind="avalon"
   kind="avalon"
   version="13.1"
   version="14.0"
   start="pc_bus.avalon_vga_master"
   start="pc_bus.avalon_vga_master"
   end="vga.mem">
   end="vga.mem">
  
  
   int
   int
   1
   1
Line 25834... Line 26605...
  mem
  mem
 
 
 
 
   name="clk_sys.clk/sdram.clk"
   name="clk_sys.clk/sdram.clk"
   kind="clock"
   kind="clock"
   version="13.1"
   version="14.0"
   start="clk_sys.clk"
   start="clk_sys.clk"
   end="sdram.clk">
   end="sdram.clk">
  
  
   java.lang.String
   java.lang.String
   UNKNOWN
   UNKNOWN
Line 25861... Line 26632...
  clk
  clk
 
 
 
 
   name="pc_bus.avalon_sdram_master/sdram.s1"
   name="pc_bus.avalon_sdram_master/sdram.s1"
   kind="avalon"
   kind="avalon"
   version="13.1"
   version="14.0"
   start="pc_bus.avalon_sdram_master"
   start="pc_bus.avalon_sdram_master"
   end="sdram.s1">
   end="sdram.s1">
  
  
   int
   int
   1
   1
Line 25912... Line 26683...
  s1
  s1
 
 
 
 
   name="nios2.data_master/sound.mgmt"
   name="nios2.data_master/sound.mgmt"
   kind="avalon"
   kind="avalon"
   version="13.1"
   version="14.0"
   start="nios2.data_master"
   start="nios2.data_master"
   end="sound.mgmt">
   end="sound.mgmt">
  
  
   int
   int
   1
   1
Line 25963... Line 26734...
  mgmt
  mgmt
 
 
 
 
   name="clk_sys.clk/rtc.clock"
   name="clk_sys.clk/rtc.clock"
   kind="clock"
   kind="clock"
   version="13.1"
   version="14.0"
   start="clk_sys.clk"
   start="clk_sys.clk"
   end="rtc.clock">
   end="rtc.clock">
  
  
   java.lang.String
   java.lang.String
   UNKNOWN
   UNKNOWN
Line 25990... Line 26761...
  clock
  clock
 
 
 
 
   name="nios2.data_master/rtc.mgmt"
   name="nios2.data_master/rtc.mgmt"
   kind="avalon"
   kind="avalon"
   version="13.1"
   version="14.0"
   start="nios2.data_master"
   start="nios2.data_master"
   end="rtc.mgmt">
   end="rtc.mgmt">
  
  
   int
   int
   1
   1
Line 26041... Line 26812...
  mgmt
  mgmt
 
 
 
 
   name="clk_sys.clk/pit.clock"
   name="clk_sys.clk/pit.clock"
   kind="clock"
   kind="clock"
   version="13.1"
   version="14.0"
   start="clk_sys.clk"
   start="clk_sys.clk"
   end="pit.clock">
   end="pit.clock">
  
  
   java.lang.String
   java.lang.String
   UNKNOWN
   UNKNOWN
Line 26068... Line 26839...
  clock
  clock
 
 
 
 
   name="nios2.data_master/pit.mgmt"
   name="nios2.data_master/pit.mgmt"
   kind="avalon"
   kind="avalon"
   version="13.1"
   version="14.0"
   start="nios2.data_master"
   start="nios2.data_master"
   end="pit.mgmt">
   end="pit.mgmt">
  
  
   int
   int
   1
   1
Line 26119... Line 26890...
  mgmt
  mgmt
 
 
 
 
   name="clk_sys.clk/pic.clock"
   name="clk_sys.clk/pic.clock"
   kind="clock"
   kind="clock"
   version="13.1"
   version="14.0"
   start="clk_sys.clk"
   start="clk_sys.clk"
   end="pic.clock">
   end="pic.clock">
  
  
   java.lang.String
   java.lang.String
   UNKNOWN
   UNKNOWN
Line 26146... Line 26917...
  clock
  clock
 
 
 
 
   name="pic.interrupt_receiver/pit.interrupt_pit"
   name="pic.interrupt_receiver/pit.interrupt_pit"
   kind="interrupt"
   kind="interrupt"
   version="13.1"
   version="14.0"
   start="pic.interrupt_receiver"
   start="pic.interrupt_receiver"
   end="pit.interrupt_pit">
   end="pit.interrupt_pit">
  
  
   int
   int
   0
   0
Line 26181... Line 26952...
  interrupt_pit
  interrupt_pit
 
 
 
 
   name="pic.interrupt_receiver/rtc.interrupt_rtc"
   name="pic.interrupt_receiver/rtc.interrupt_rtc"
   kind="interrupt"
   kind="interrupt"
   version="13.1"
   version="14.0"
   start="pic.interrupt_receiver"
   start="pic.interrupt_receiver"
   end="rtc.interrupt_rtc">
   end="rtc.interrupt_rtc">
  
  
   int
   int
   8
   8
Line 26216... Line 26987...
  interrupt_rtc
  interrupt_rtc
 
 
 
 
   name="pic.interrupt_receiver/sound.interrupt_sender"
   name="pic.interrupt_receiver/sound.interrupt_sender"
   kind="interrupt"
   kind="interrupt"
   version="13.1"
   version="14.0"
   start="pic.interrupt_receiver"
   start="pic.interrupt_receiver"
   end="sound.interrupt_sender">
   end="sound.interrupt_sender">
  
  
   int
   int
   5
   5
Line 26251... Line 27022...
  interrupt_sender
  interrupt_sender
 
 
 
 
   name="clk_sys.clk/hdd.clock"
   name="clk_sys.clk/hdd.clock"
   kind="clock"
   kind="clock"
   version="13.1"
   version="14.0"
   start="clk_sys.clk"
   start="clk_sys.clk"
   end="hdd.clock">
   end="hdd.clock">
  
  
   java.lang.String
   java.lang.String
   UNKNOWN
   UNKNOWN
Line 26278... Line 27049...
  clock
  clock
 
 
 
 
   name="nios2.data_master/hdd.mgmt"
   name="nios2.data_master/hdd.mgmt"
   kind="avalon"
   kind="avalon"
   version="13.1"
   version="14.0"
   start="nios2.data_master"
   start="nios2.data_master"
   end="hdd.mgmt">
   end="hdd.mgmt">
  
  
   int
   int
   1
   1
Line 26329... Line 27100...
  mgmt
  mgmt
 
 
 
 
   name="clk_sys.clk/floppy.clock"
   name="clk_sys.clk/floppy.clock"
   kind="clock"
   kind="clock"
   version="13.1"
   version="14.0"
   start="clk_sys.clk"
   start="clk_sys.clk"
   end="floppy.clock">
   end="floppy.clock">
  
  
   java.lang.String
   java.lang.String
   UNKNOWN
   UNKNOWN
Line 26356... Line 27127...
  clock
  clock
 
 
 
 
   name="nios2.data_master/floppy.mgmt"
   name="nios2.data_master/floppy.mgmt"
   kind="avalon"
   kind="avalon"
   version="13.1"
   version="14.0"
   start="nios2.data_master"
   start="nios2.data_master"
   end="floppy.mgmt">
   end="floppy.mgmt">
  
  
   int
   int
   1
   1
Line 26407... Line 27178...
  mgmt
  mgmt
 
 
 
 
   name="hdd.conduit_ide_3f6/floppy.conduit_ide_3f6"
   name="hdd.conduit_ide_3f6/floppy.conduit_ide_3f6"
   kind="conduit"
   kind="conduit"
   version="13.1"
   version="14.0"
   start="hdd.conduit_ide_3f6"
   start="hdd.conduit_ide_3f6"
   end="floppy.conduit_ide_3f6">
   end="floppy.conduit_ide_3f6">
  
  
   com.altera.entityinterfaces.IPort
   com.altera.entityinterfaces.IPort
   
   
Line 26474... Line 27245...
  conduit_ide_3f6
  conduit_ide_3f6
 
 
 
 
   name="clk_sys.clk/pc_dma.clock"
   name="clk_sys.clk/pc_dma.clock"
   kind="clock"
   kind="clock"
   version="13.1"
   version="14.0"
   start="clk_sys.clk"
   start="clk_sys.clk"
   end="pc_dma.clock">
   end="pc_dma.clock">
  
  
   java.lang.String
   java.lang.String
   UNKNOWN
   UNKNOWN
Line 26501... Line 27272...
  clock
  clock
 
 
 
 
   name="pc_dma.conduit_dma_floppy/floppy.conduit_dma_floppy"
   name="pc_dma.conduit_dma_floppy/floppy.conduit_dma_floppy"
   kind="conduit"
   kind="conduit"
   version="13.1"
   version="14.0"
   start="pc_dma.conduit_dma_floppy"
   start="pc_dma.conduit_dma_floppy"
   end="floppy.conduit_dma_floppy">
   end="floppy.conduit_dma_floppy">
  
  
   com.altera.entityinterfaces.IPort
   com.altera.entityinterfaces.IPort
   
   
Line 26568... Line 27339...
  conduit_dma_floppy
  conduit_dma_floppy
 
 
 
 
   name="sound.conduit_dma_soundblaster/pc_dma.conduit_dma_soundblaster"
   name="sound.conduit_dma_soundblaster/pc_dma.conduit_dma_soundblaster"
   kind="conduit"
   kind="conduit"
   version="13.1"
   version="14.0"
   start="sound.conduit_dma_soundblaster"
   start="sound.conduit_dma_soundblaster"
   end="pc_dma.conduit_dma_soundblaster">
   end="pc_dma.conduit_dma_soundblaster">
  
  
   com.altera.entityinterfaces.IPort
   com.altera.entityinterfaces.IPort
   
   
Line 26635... Line 27406...
  conduit_dma_soundblaster
  conduit_dma_soundblaster
 
 
 
 
   name="clk_sys.clk/pio_input.clk"
   name="clk_sys.clk/pio_input.clk"
   kind="clock"
   kind="clock"
   version="13.1"
   version="14.0"
   start="clk_sys.clk"
   start="clk_sys.clk"
   end="pio_input.clk">
   end="pio_input.clk">
  
  
   java.lang.String
   java.lang.String
   UNKNOWN
   UNKNOWN
Line 26662... Line 27433...
  clk
  clk
 
 
 
 
   name="nios2.data_master/pio_input.s1"
   name="nios2.data_master/pio_input.s1"
   kind="avalon"
   kind="avalon"
   version="13.1"
   version="14.0"
   start="nios2.data_master"
   start="nios2.data_master"
   end="pio_input.s1">
   end="pio_input.s1">
  
  
   int
   int
   1
   1
Line 26713... Line 27484...
  s1
  s1
 
 
 
 
   name="nios2.data_master/jtag_uart.avalon_jtag_slave"
   name="nios2.data_master/jtag_uart.avalon_jtag_slave"
   kind="avalon"
   kind="avalon"
   version="13.1"
   version="14.0"
   start="nios2.data_master"
   start="nios2.data_master"
   end="jtag_uart.avalon_jtag_slave">
   end="jtag_uart.avalon_jtag_slave">
  
  
   int
   int
   1
   1
Line 26764... Line 27535...
  avalon_jtag_slave
  avalon_jtag_slave
 
 
 
 
   name="clk_sys.clk/reset_only_ao486.clk"
   name="clk_sys.clk/reset_only_ao486.clk"
   kind="clock"
   kind="clock"
   version="13.1"
   version="14.0"
   start="clk_sys.clk"
   start="clk_sys.clk"
   end="reset_only_ao486.clk">
   end="reset_only_ao486.clk">
  
  
   java.lang.String
   java.lang.String
   UNKNOWN
   UNKNOWN
Line 26791... Line 27562...
  clk
  clk
 
 
 
 
   name="clk_sys.clk/pio_output.clk"
   name="clk_sys.clk/pio_output.clk"
   kind="clock"
   kind="clock"
   version="13.1"
   version="14.0"
   start="clk_sys.clk"
   start="clk_sys.clk"
   end="pio_output.clk">
   end="pio_output.clk">
  
  
   java.lang.String
   java.lang.String
   UNKNOWN
   UNKNOWN
Line 26818... Line 27589...
  clk
  clk
 
 
 
 
   name="nios2.data_master/pio_output.s1"
   name="nios2.data_master/pio_output.s1"
   kind="avalon"
   kind="avalon"
   version="13.1"
   version="14.0"
   start="nios2.data_master"
   start="nios2.data_master"
   end="pio_output.s1">
   end="pio_output.s1">
  
  
   int
   int
   1
   1
Line 26869... Line 27640...
  s1
  s1
 
 
 
 
   name="nios2.data_master/sdram.s1"
   name="nios2.data_master/sdram.s1"
   kind="avalon"
   kind="avalon"
   version="13.1"
   version="14.0"
   start="nios2.data_master"
   start="nios2.data_master"
   end="sdram.s1">
   end="sdram.s1">
  
  
   int
   int
   1
   1
Line 26920... Line 27691...
  s1
  s1
 
 
 
 
   name="clk_sys.clk_reset/reset_sys.reset_in0"
   name="clk_sys.clk_reset/reset_sys.reset_in0"
   kind="reset"
   kind="reset"
   version="13.1"
   version="14.0"
   start="clk_sys.clk_reset"
   start="clk_sys.clk_reset"
   end="reset_sys.reset_in0">
   end="reset_sys.reset_in0">
  
  
   java.lang.String
   java.lang.String
   UNKNOWN
   UNKNOWN
Line 26947... Line 27718...
  reset_in0
  reset_in0
 
 
 
 
   name="nios2.jtag_debug_module_reset/reset_sys.reset_in1"
   name="nios2.jtag_debug_module_reset/reset_sys.reset_in1"
   kind="reset"
   kind="reset"
   version="13.1"
   version="14.0"
   start="nios2.jtag_debug_module_reset"
   start="nios2.jtag_debug_module_reset"
   end="reset_sys.reset_in1">
   end="reset_sys.reset_in1">
  
  
   java.lang.String
   java.lang.String
   UNKNOWN
   UNKNOWN
Line 26974... Line 27745...
  reset_in1
  reset_in1
 
 
 
 
   name="reset_sys.reset_out/onchip_for_nios2.reset1"
   name="reset_sys.reset_out/onchip_for_nios2.reset1"
   kind="reset"
   kind="reset"
   version="13.1"
   version="14.0"
   start="reset_sys.reset_out"
   start="reset_sys.reset_out"
   end="onchip_for_nios2.reset1">
   end="onchip_for_nios2.reset1">
  
  
   java.lang.String
   java.lang.String
   UNKNOWN
   UNKNOWN
Line 27001... Line 27772...
  reset1
  reset1
 
 
 
 
   name="reset_sys.reset_out/pio_input.reset"
   name="reset_sys.reset_out/pio_input.reset"
   kind="reset"
   kind="reset"
   version="13.1"
   version="14.0"
   start="reset_sys.reset_out"
   start="reset_sys.reset_out"
   end="pio_input.reset">
   end="pio_input.reset">
  
  
   java.lang.String
   java.lang.String
   UNKNOWN
   UNKNOWN
Line 27028... Line 27799...
  reset
  reset
 
 
 
 
   name="reset_sys.reset_out/pio_output.reset"
   name="reset_sys.reset_out/pio_output.reset"
   kind="reset"
   kind="reset"
   version="13.1"
   version="14.0"
   start="reset_sys.reset_out"
   start="reset_sys.reset_out"
   end="pio_output.reset">
   end="pio_output.reset">
  
  
   java.lang.String
   java.lang.String
   UNKNOWN
   UNKNOWN
Line 27055... Line 27826...
  reset
  reset
 
 
 
 
   name="reset_sys.reset_out/jtag_uart.reset"
   name="reset_sys.reset_out/jtag_uart.reset"
   kind="reset"
   kind="reset"
   version="13.1"
   version="14.0"
   start="reset_sys.reset_out"
   start="reset_sys.reset_out"
   end="jtag_uart.reset">
   end="jtag_uart.reset">
  
  
   java.lang.String
   java.lang.String
   UNKNOWN
   UNKNOWN
Line 27080... Line 27851...
  reset_out
  reset_out
  jtag_uart
  jtag_uart
  reset
  reset
 
 
 
 
   name="reset_sys.reset_out/pc_bus.reset_sink"
 
   kind="reset"
 
   version="13.1"
 
   start="reset_sys.reset_out"
 
   end="pc_bus.reset_sink">
 
  
 
   java.lang.String
 
   UNKNOWN
 
   false
 
   true
 
   true
 
   true
 
  
 
  
 
   boolean
 
   false
 
   false
 
   true
 
   true
 
   true
 
  
 
  reset_sys
 
  reset_out
 
  pc_bus
 
  reset_sink
 
 
 
 
 
   name="reset_sys.reset_out/pc_dma.reset_sink"
   name="reset_sys.reset_out/pc_dma.reset_sink"
   kind="reset"
   kind="reset"
   version="13.1"
   version="14.0"
   start="reset_sys.reset_out"
   start="reset_sys.reset_out"
   end="pc_dma.reset_sink">
   end="pc_dma.reset_sink">
  
  
   java.lang.String
   java.lang.String
   UNKNOWN
   UNKNOWN
Line 27136... Line 27880...
  reset_sink
  reset_sink
 
 
 
 
   name="reset_sys.reset_out/vga.reset_sink"
   name="reset_sys.reset_out/vga.reset_sink"
   kind="reset"
   kind="reset"
   version="13.1"
   version="14.0"
   start="reset_sys.reset_out"
   start="reset_sys.reset_out"
   end="vga.reset_sink">
   end="vga.reset_sink">
  
  
   java.lang.String
   java.lang.String
   UNKNOWN
   UNKNOWN
Line 27163... Line 27907...
  reset_sink
  reset_sink
 
 
 
 
   name="reset_sys.reset_out/sdram.reset"
   name="reset_sys.reset_out/sdram.reset"
   kind="reset"
   kind="reset"
   version="13.1"
   version="14.0"
   start="reset_sys.reset_out"
   start="reset_sys.reset_out"
   end="sdram.reset">
   end="sdram.reset">
  
  
   java.lang.String
   java.lang.String
   UNKNOWN
   UNKNOWN
Line 27190... Line 27934...
  reset
  reset
 
 
 
 
   name="reset_sys.reset_out/rtc.reset_sink"
   name="reset_sys.reset_out/rtc.reset_sink"
   kind="reset"
   kind="reset"
   version="13.1"
   version="14.0"
   start="reset_sys.reset_out"
   start="reset_sys.reset_out"
   end="rtc.reset_sink">
   end="rtc.reset_sink">
  
  
   java.lang.String
   java.lang.String
   UNKNOWN
   UNKNOWN
Line 27217... Line 27961...
  reset_sink
  reset_sink
 
 
 
 
   name="reset_sys.reset_out/pit.reset_sink"
   name="reset_sys.reset_out/pit.reset_sink"
   kind="reset"
   kind="reset"
   version="13.1"
   version="14.0"
   start="reset_sys.reset_out"
   start="reset_sys.reset_out"
   end="pit.reset_sink">
   end="pit.reset_sink">
  
  
   java.lang.String
   java.lang.String
   UNKNOWN
   UNKNOWN
Line 27244... Line 27988...
  reset_sink
  reset_sink
 
 
 
 
   name="reset_sys.reset_out/pic.reset_sink"
   name="reset_sys.reset_out/pic.reset_sink"
   kind="reset"
   kind="reset"
   version="13.1"
   version="14.0"
   start="reset_sys.reset_out"
   start="reset_sys.reset_out"
   end="pic.reset_sink">
   end="pic.reset_sink">
  
  
   java.lang.String
   java.lang.String
   UNKNOWN
   UNKNOWN
Line 27271... Line 28015...
  reset_sink
  reset_sink
 
 
 
 
   name="reset_sys.reset_out/hdd.reset_sink"
   name="reset_sys.reset_out/hdd.reset_sink"
   kind="reset"
   kind="reset"
   version="13.1"
   version="14.0"
   start="reset_sys.reset_out"
   start="reset_sys.reset_out"
   end="hdd.reset_sink">
   end="hdd.reset_sink">
  
  
   java.lang.String
   java.lang.String
   UNKNOWN
   UNKNOWN
Line 27298... Line 28042...
  reset_sink
  reset_sink
 
 
 
 
   name="reset_sys.reset_out/floppy.reset_sink"
   name="reset_sys.reset_out/floppy.reset_sink"
   kind="reset"
   kind="reset"
   version="13.1"
   version="14.0"
   start="reset_sys.reset_out"
   start="reset_sys.reset_out"
   end="floppy.reset_sink">
   end="floppy.reset_sink">
  
  
   java.lang.String
   java.lang.String
   UNKNOWN
   UNKNOWN
Line 27325... Line 28069...
  reset_sink
  reset_sink
 
 
 
 
   name="reset_sys.reset_out/reset_only_ao486.reset_in1"
   name="reset_sys.reset_out/reset_only_ao486.reset_in1"
   kind="reset"
   kind="reset"
   version="13.1"
   version="14.0"
   start="reset_sys.reset_out"
   start="reset_sys.reset_out"
   end="reset_only_ao486.reset_in1">
   end="reset_only_ao486.reset_in1">
  
  
   java.lang.String
   java.lang.String
   UNKNOWN
   UNKNOWN
Line 27352... Line 28096...
  reset_in1
  reset_in1
 
 
 
 
   name="clk_sys.clk/reset_sys.clk"
   name="clk_sys.clk/reset_sys.clk"
   kind="clock"
   kind="clock"
   version="13.1"
   version="14.0"
   start="clk_sys.clk"
   start="clk_sys.clk"
   end="reset_sys.clk">
   end="reset_sys.clk">
  
  
   java.lang.String
   java.lang.String
   UNKNOWN
   UNKNOWN
Line 27379... Line 28123...
  clk
  clk
 
 
 
 
   name="reset_sys.reset_out/nios2.reset_n"
   name="reset_sys.reset_out/nios2.reset_n"
   kind="reset"
   kind="reset"
   version="13.1"
   version="14.0"
   start="reset_sys.reset_out"
   start="reset_sys.reset_out"
   end="nios2.reset_n">
   end="nios2.reset_n">
  
  
   java.lang.String
   java.lang.String
   UNKNOWN
   UNKNOWN
Line 27406... Line 28150...
  reset_n
  reset_n
 
 
 
 
   name="nios2.instruction_master/nios2.jtag_debug_module"
   name="nios2.instruction_master/nios2.jtag_debug_module"
   kind="avalon"
   kind="avalon"
   version="13.1"
   version="14.0"
   start="nios2.instruction_master"
   start="nios2.instruction_master"
   end="nios2.jtag_debug_module">
   end="nios2.jtag_debug_module">
  
  
   int
   int
   1
   1
Line 27457... Line 28201...
  jtag_debug_module
  jtag_debug_module
 
 
 
 
   name="nios2.d_irq/jtag_uart.irq"
   name="nios2.d_irq/jtag_uart.irq"
   kind="interrupt"
   kind="interrupt"
   version="13.1"
   version="14.0"
   start="nios2.d_irq"
   start="nios2.d_irq"
   end="jtag_uart.irq">
   end="jtag_uart.irq">
  
  
   int
   int
   0
   0
Line 27492... Line 28236...
  irq
  irq
 
 
 
 
   name="pc_dma.avalon_master/sdram.s1"
   name="pc_dma.avalon_master/sdram.s1"
   kind="avalon"
   kind="avalon"
   version="13.1"
   version="14.0"
   start="pc_dma.avalon_master"
   start="pc_dma.avalon_master"
   end="sdram.s1">
   end="sdram.s1">
  
  
   int
   int
   1
   1
Line 27541... Line 28285...
  avalon_master
  avalon_master
  sdram
  sdram
  s1
  s1
 
 
 
 
   name="reset_sys.reset_out/ao486.reset_sink"
 
   kind="reset"
 
   version="13.1"
 
   start="reset_sys.reset_out"
 
   end="ao486.reset_sink">
 
  
 
   java.lang.String
 
   UNKNOWN
 
   false
 
   true
 
   true
 
   true
 
  
 
  
 
   boolean
 
   false
 
   false
 
   true
 
   true
 
   true
 
  
 
  reset_sys
 
  reset_out
 
  ao486
 
  reset_sink
 
 
 
 
 
   name="ao486.avalon_memory/pc_bus.mem"
   name="ao486.avalon_memory/pc_bus.mem"
   kind="avalon"
   kind="avalon"
   version="13.1"
   version="14.0"
   start="ao486.avalon_memory"
   start="ao486.avalon_memory"
   end="pc_bus.mem">
   end="pc_bus.mem">
  
  
   int
   int
   1
   1
Line 27621... Line 28338...
  mem
  mem
 
 
 
 
   name="pic.conduit_interrupt/ao486.interrupt"
   name="pic.conduit_interrupt/ao486.interrupt"
   kind="conduit"
   kind="conduit"
   version="13.1"
   version="14.0"
   start="pic.conduit_interrupt"
   start="pic.conduit_interrupt"
   end="ao486.interrupt">
   end="ao486.interrupt">
  
  
   com.altera.entityinterfaces.IPort
   com.altera.entityinterfaces.IPort
   
   
Line 27686... Line 28403...
  conduit_interrupt
  conduit_interrupt
  ao486
  ao486
  interrupt
  interrupt
 
 
 
 
   name="reset_only_ao486.reset_out/ao486.reset_only_ao486"
 
   kind="reset"
 
   version="13.1"
 
   start="reset_only_ao486.reset_out"
 
   end="ao486.reset_only_ao486">
 
  
 
   java.lang.String
 
   UNKNOWN
 
   false
 
   true
 
   true
 
   true
 
  
 
  
 
   boolean
 
   false
 
   false
 
   true
 
   true
 
   true
 
  
 
  reset_only_ao486
 
  reset_out
 
  ao486
 
  reset_only_ao486
 
 
 
 
 
   name="ao486.avalon_io/jtag_uart.avalon_jtag_slave"
   name="ao486.avalon_io/jtag_uart.avalon_jtag_slave"
   kind="avalon"
   kind="avalon"
   version="13.1"
   version="14.0"
   start="ao486.avalon_io"
   start="ao486.avalon_io"
   end="jtag_uart.avalon_jtag_slave">
   end="jtag_uart.avalon_jtag_slave">
  
  
   int
   int
   1
   1
Line 27766... Line 28456...
  avalon_jtag_slave
  avalon_jtag_slave
 
 
 
 
   name="ao486.avalon_io/pc_dma.slave"
   name="ao486.avalon_io/pc_dma.slave"
   kind="avalon"
   kind="avalon"
   version="13.1"
   version="14.0"
   start="ao486.avalon_io"
   start="ao486.avalon_io"
   end="pc_dma.slave">
   end="pc_dma.slave">
  
  
   int
   int
   1
   1
Line 27817... Line 28507...
  slave
  slave
 
 
 
 
   name="ao486.avalon_io/pc_dma.page"
   name="ao486.avalon_io/pc_dma.page"
   kind="avalon"
   kind="avalon"
   version="13.1"
   version="14.0"
   start="ao486.avalon_io"
   start="ao486.avalon_io"
   end="pc_dma.page">
   end="pc_dma.page">
  
  
   int
   int
   1
   1
Line 27868... Line 28558...
  page
  page
 
 
 
 
   name="ao486.avalon_io/pc_dma.master"
   name="ao486.avalon_io/pc_dma.master"
   kind="avalon"
   kind="avalon"
   version="13.1"
   version="14.0"
   start="ao486.avalon_io"
   start="ao486.avalon_io"
   end="pc_dma.master">
   end="pc_dma.master">
  
  
   int
   int
   1
   1
Line 27919... Line 28609...
  master
  master
 
 
 
 
   name="ao486.avalon_io/vga.io_b"
   name="ao486.avalon_io/vga.io_b"
   kind="avalon"
   kind="avalon"
   version="13.1"
   version="14.0"
   start="ao486.avalon_io"
   start="ao486.avalon_io"
   end="vga.io_b">
   end="vga.io_b">
  
  
   int
   int
   1
   1
Line 27970... Line 28660...
  io_b
  io_b
 
 
 
 
   name="ao486.avalon_io/vga.io_c"
   name="ao486.avalon_io/vga.io_c"
   kind="avalon"
   kind="avalon"
   version="13.1"
   version="14.0"
   start="ao486.avalon_io"
   start="ao486.avalon_io"
   end="vga.io_c">
   end="vga.io_c">
  
  
   int
   int
   1
   1
Line 28021... Line 28711...
  io_c
  io_c
 
 
 
 
   name="ao486.avalon_io/vga.io_d"
   name="ao486.avalon_io/vga.io_d"
   kind="avalon"
   kind="avalon"
   version="13.1"
   version="14.0"
   start="ao486.avalon_io"
   start="ao486.avalon_io"
   end="vga.io_d">
   end="vga.io_d">
  
  
   int
   int
   1
   1
Line 28072... Line 28762...
  io_d
  io_d
 
 
 
 
   name="ao486.avalon_io/sound.io"
   name="ao486.avalon_io/sound.io"
   kind="avalon"
   kind="avalon"
   version="13.1"
   version="14.0"
   start="ao486.avalon_io"
   start="ao486.avalon_io"
   end="sound.io">
   end="sound.io">
  
  
   int
   int
   1
   1
Line 28123... Line 28813...
  io
  io
 
 
 
 
   name="ao486.avalon_io/sound.fm"
   name="ao486.avalon_io/sound.fm"
   kind="avalon"
   kind="avalon"
   version="13.1"
   version="14.0"
   start="ao486.avalon_io"
   start="ao486.avalon_io"
   end="sound.fm">
   end="sound.fm">
  
  
   int
   int
   1
   1
Line 28174... Line 28864...
  fm
  fm
 
 
 
 
   name="ao486.avalon_io/rtc.io"
   name="ao486.avalon_io/rtc.io"
   kind="avalon"
   kind="avalon"
   version="13.1"
   version="14.0"
   start="ao486.avalon_io"
   start="ao486.avalon_io"
   end="rtc.io">
   end="rtc.io">
  
  
   int
   int
   1
   1
Line 28225... Line 28915...
  io
  io
 
 
 
 
   name="ao486.avalon_io/pit.io"
   name="ao486.avalon_io/pit.io"
   kind="avalon"
   kind="avalon"
   version="13.1"
   version="14.0"
   start="ao486.avalon_io"
   start="ao486.avalon_io"
   end="pit.io">
   end="pit.io">
  
  
   int
   int
   1
   1
Line 28276... Line 28966...
  io
  io
 
 
 
 
   name="ao486.avalon_io/pic.master"
   name="ao486.avalon_io/pic.master"
   kind="avalon"
   kind="avalon"
   version="13.1"
   version="14.0"
   start="ao486.avalon_io"
   start="ao486.avalon_io"
   end="pic.master">
   end="pic.master">
  
  
   int
   int
   1
   1
Line 28327... Line 29017...
  master
  master
 
 
 
 
   name="ao486.avalon_io/pic.slave"
   name="ao486.avalon_io/pic.slave"
   kind="avalon"
   kind="avalon"
   version="13.1"
   version="14.0"
   start="ao486.avalon_io"
   start="ao486.avalon_io"
   end="pic.slave">
   end="pic.slave">
  
  
   int
   int
   1
   1
Line 28378... Line 29068...
  slave
  slave
 
 
 
 
   name="ao486.avalon_io/hdd.io"
   name="ao486.avalon_io/hdd.io"
   kind="avalon"
   kind="avalon"
   version="13.1"
   version="14.0"
   start="ao486.avalon_io"
   start="ao486.avalon_io"
   end="hdd.io">
   end="hdd.io">
  
  
   int
   int
   1
   1
Line 28429... Line 29119...
  io
  io
 
 
 
 
   name="ao486.avalon_io/floppy.io"
   name="ao486.avalon_io/floppy.io"
   kind="avalon"
   kind="avalon"
   version="13.1"
   version="14.0"
   start="ao486.avalon_io"
   start="ao486.avalon_io"
   end="floppy.io">
   end="floppy.io">
  
  
   int
   int
   1
   1
Line 28480... Line 29170...
  io
  io
 
 
 
 
   name="sound.conduit_speaker/pit.conduit_speaker"
   name="sound.conduit_speaker/pit.conduit_speaker"
   kind="conduit"
   kind="conduit"
   version="13.1"
   version="14.0"
   start="sound.conduit_speaker"
   start="sound.conduit_speaker"
   end="pit.conduit_speaker">
   end="pit.conduit_speaker">
  
  
   com.altera.entityinterfaces.IPort
   com.altera.entityinterfaces.IPort
   
   
Line 28547... Line 29237...
  conduit_speaker
  conduit_speaker
 
 
 
 
   name="reset_sys.reset_out/sound.reset_sink"
   name="reset_sys.reset_out/sound.reset_sink"
   kind="reset"
   kind="reset"
   version="13.1"
   version="14.0"
   start="reset_sys.reset_out"
   start="reset_sys.reset_out"
   end="sound.reset_sink">
   end="sound.reset_sink">
  
  
   java.lang.String
   java.lang.String
   UNKNOWN
   UNKNOWN
Line 28574... Line 29264...
  reset_sink
  reset_sink
 
 
 
 
   name="clk_sys.clk/sound.clock"
   name="clk_sys.clk/sound.clock"
   kind="clock"
   kind="clock"
   version="13.1"
   version="14.0"
   start="clk_sys.clk"
   start="clk_sys.clk"
   end="sound.clock">
   end="sound.clock">
  
  
   java.lang.String
   java.lang.String
   UNKNOWN
   UNKNOWN
Line 28601... Line 29291...
  clock
  clock
 
 
 
 
   name="reset_sys.reset_out/driver_sound.reset_sound"
   name="reset_sys.reset_out/driver_sound.reset_sound"
   kind="reset"
   kind="reset"
   version="13.1"
   version="14.0"
   start="reset_sys.reset_out"
   start="reset_sys.reset_out"
   end="driver_sound.reset_sound">
   end="driver_sound.reset_sound">
  
  
   java.lang.String
   java.lang.String
   UNKNOWN
   UNKNOWN
Line 28628... Line 29318...
  reset_sound
  reset_sound
 
 
 
 
   name="clk_sound.clk/driver_sound.clock_sound"
   name="clk_sound.clk/driver_sound.clock_sound"
   kind="clock"
   kind="clock"
   version="13.1"
   version="14.0"
   start="clk_sound.clk"
   start="clk_sound.clk"
   end="driver_sound.clock_sound">
   end="driver_sound.clock_sound">
  
  
   java.lang.String
   java.lang.String
   UNKNOWN
   UNKNOWN
Line 28655... Line 29345...
  clock_sound
  clock_sound
 
 
 
 
   name="sound.sound_master/driver_sound.sound_slave"
   name="sound.sound_master/driver_sound.sound_slave"
   kind="avalon"
   kind="avalon"
   version="13.1"
   version="14.0"
   start="sound.sound_master"
   start="sound.sound_master"
   end="driver_sound.sound_slave">
   end="driver_sound.sound_slave">
  
  
   int
   int
   1
   1
Line 28706... Line 29396...
  sound_slave
  sound_slave
 
 
 
 
   name="clk_sys.clk/driver_sd.clock"
   name="clk_sys.clk/driver_sd.clock"
   kind="clock"
   kind="clock"
   version="13.1"
   version="14.0"
   start="clk_sys.clk"
   start="clk_sys.clk"
   end="driver_sd.clock">
   end="driver_sd.clock">
  
  
   java.lang.String
   java.lang.String
   UNKNOWN
   UNKNOWN
Line 28733... Line 29423...
  clock
  clock
 
 
 
 
   name="reset_sys.reset_out/driver_sd.reset_sink"
   name="reset_sys.reset_out/driver_sd.reset_sink"
   kind="reset"
   kind="reset"
   version="13.1"
   version="14.0"
   start="reset_sys.reset_out"
   start="reset_sys.reset_out"
   end="driver_sd.reset_sink">
   end="driver_sd.reset_sink">
  
  
   java.lang.String
   java.lang.String
   UNKNOWN
   UNKNOWN
Line 28760... Line 29450...
  reset_sink
  reset_sink
 
 
 
 
   name="floppy.avalon_master/driver_sd.avalon_slave_0"
   name="floppy.avalon_master/driver_sd.avalon_slave_0"
   kind="avalon"
   kind="avalon"
   version="13.1"
   version="14.0"
   start="floppy.avalon_master"
   start="floppy.avalon_master"
   end="driver_sd.avalon_slave_0">
   end="driver_sd.avalon_slave_0">
  
  
   int
   int
   1
   1
Line 28811... Line 29501...
  avalon_slave_0
  avalon_slave_0
 
 
 
 
   name="hdd.avalon_master/driver_sd.avalon_slave_0"
   name="hdd.avalon_master/driver_sd.avalon_slave_0"
   kind="avalon"
   kind="avalon"
   version="13.1"
   version="14.0"
   start="hdd.avalon_master"
   start="hdd.avalon_master"
   end="driver_sd.avalon_slave_0">
   end="driver_sd.avalon_slave_0">
  
  
   int
   int
   1
   1
Line 28862... Line 29552...
  avalon_slave_0
  avalon_slave_0
 
 
 
 
   name="driver_sd.avalon_master_0/floppy.sd_slave"
   name="driver_sd.avalon_master_0/floppy.sd_slave"
   kind="avalon"
   kind="avalon"
   version="13.1"
   version="14.0"
   start="driver_sd.avalon_master_0"
   start="driver_sd.avalon_master_0"
   end="floppy.sd_slave">
   end="floppy.sd_slave">
  
  
   int
   int
   1
   1
Line 28913... Line 29603...
  sd_slave
  sd_slave
 
 
 
 
   name="driver_sd.avalon_master_0/hdd.sd_slave"
   name="driver_sd.avalon_master_0/hdd.sd_slave"
   kind="avalon"
   kind="avalon"
   version="13.1"
   version="14.0"
   start="driver_sd.avalon_master_0"
   start="driver_sd.avalon_master_0"
   end="hdd.sd_slave">
   end="hdd.sd_slave">
  
  
   int
   int
   1
   1
Line 28964... Line 29654...
  sd_slave
  sd_slave
 
 
 
 
   name="nios2.data_master/driver_sd.avalon_slave_0"
   name="nios2.data_master/driver_sd.avalon_slave_0"
   kind="avalon"
   kind="avalon"
   version="13.1"
   version="14.0"
   start="nios2.data_master"
   start="nios2.data_master"
   end="driver_sd.avalon_slave_0">
   end="driver_sd.avalon_slave_0">
  
  
   int
   int
   1
   1
Line 29015... Line 29705...
  avalon_slave_0
  avalon_slave_0
 
 
 
 
   name="driver_sd.avalon_master_0/sdram.s1"
   name="driver_sd.avalon_master_0/sdram.s1"
   kind="avalon"
   kind="avalon"
   version="13.1"
   version="14.0"
   start="driver_sd.avalon_master_0"
   start="driver_sd.avalon_master_0"
   end="sdram.s1">
   end="sdram.s1">
  
  
   int
   int
   1
   1
Line 29066... Line 29756...
  s1
  s1
 
 
 
 
   name="driver_sd.avalon_master_0/onchip_for_nios2.s1"
   name="driver_sd.avalon_master_0/onchip_for_nios2.s1"
   kind="avalon"
   kind="avalon"
   version="13.1"
   version="14.0"
   start="driver_sd.avalon_master_0"
   start="driver_sd.avalon_master_0"
   end="onchip_for_nios2.s1">
   end="onchip_for_nios2.s1">
  
  
   int
   int
   1
   1
Line 29117... Line 29807...
  s1
  s1
 
 
 
 
   name="pic.interrupt_receiver/hdd.interrupt_sender"
   name="pic.interrupt_receiver/hdd.interrupt_sender"
   kind="interrupt"
   kind="interrupt"
   version="13.1"
   version="14.0"
   start="pic.interrupt_receiver"
   start="pic.interrupt_receiver"
   end="hdd.interrupt_sender">
   end="hdd.interrupt_sender">
  
  
   int
   int
   14
   14
Line 29152... Line 29842...
  interrupt_sender
  interrupt_sender
 
 
 
 
   name="clk_sys.clk/ps2.clock"
   name="clk_sys.clk/ps2.clock"
   kind="clock"
   kind="clock"
   version="13.1"
   version="14.0"
   start="clk_sys.clk"
   start="clk_sys.clk"
   end="ps2.clock">
   end="ps2.clock">
  
  
   java.lang.String
   java.lang.String
   UNKNOWN
   UNKNOWN
Line 29177... Line 29867...
  clk
  clk
  ps2
  ps2
  clock
  clock
 
 
 
 
   name="reset_sys.reset_out/ps2.reset_sink"
 
   kind="reset"
 
   version="13.1"
 
   start="reset_sys.reset_out"
 
   end="ps2.reset_sink">
 
  
 
   java.lang.String
 
   UNKNOWN
 
   false
 
   true
 
   true
 
   true
 
  
 
  
 
   boolean
 
   false
 
   false
 
   true
 
   true
 
   true
 
  
 
  reset_sys
 
  reset_out
 
  ps2
 
  reset_sink
 
 
 
 
 
   name="ao486.avalon_io/ps2.io"
   name="ao486.avalon_io/ps2.io"
   kind="avalon"
   kind="avalon"
   version="13.1"
   version="14.0"
   start="ao486.avalon_io"
   start="ao486.avalon_io"
   end="ps2.io">
   end="ps2.io">
  
  
   int
   int
   1
   1
Line 29257... Line 29920...
  io
  io
 
 
 
 
   name="ao486.avalon_io/ps2.sysctl"
   name="ao486.avalon_io/ps2.sysctl"
   kind="avalon"
   kind="avalon"
   version="13.1"
   version="14.0"
   start="ao486.avalon_io"
   start="ao486.avalon_io"
   end="ps2.sysctl">
   end="ps2.sysctl">
  
  
   int
   int
   1
   1
Line 29308... Line 29971...
  sysctl
  sysctl
 
 
 
 
   name="ps2.conduit_speaker_61h/pit.conduit_speaker_61h"
   name="ps2.conduit_speaker_61h/pit.conduit_speaker_61h"
   kind="conduit"
   kind="conduit"
   version="13.1"
   version="14.0"
   start="ps2.conduit_speaker_61h"
   start="ps2.conduit_speaker_61h"
   end="pit.conduit_speaker_61h">
   end="pit.conduit_speaker_61h">
  
  
   com.altera.entityinterfaces.IPort
   com.altera.entityinterfaces.IPort
   
   
Line 29375... Line 30038...
  conduit_speaker_61h
  conduit_speaker_61h
 
 
 
 
   name="pic.interrupt_receiver/ps2.irq_mouse"
   name="pic.interrupt_receiver/ps2.irq_mouse"
   kind="interrupt"
   kind="interrupt"
   version="13.1"
   version="14.0"
   start="pic.interrupt_receiver"
   start="pic.interrupt_receiver"
   end="ps2.irq_mouse">
   end="ps2.irq_mouse">
  
  
   int
   int
   12
   12
Line 29410... Line 30073...
  irq_mouse
  irq_mouse
 
 
 
 
   name="pic.interrupt_receiver/ps2.irq_keyb"
   name="pic.interrupt_receiver/ps2.irq_keyb"
   kind="interrupt"
   kind="interrupt"
   version="13.1"
   version="14.0"
   start="pic.interrupt_receiver"
   start="pic.interrupt_receiver"
   end="ps2.irq_keyb">
   end="ps2.irq_keyb">
  
  
   int
   int
   1
   1
Line 29445... Line 30108...
  irq_keyb
  irq_keyb
 
 
 
 
   name="pic.interrupt_receiver/floppy.interrupt_sender"
   name="pic.interrupt_receiver/floppy.interrupt_sender"
   kind="interrupt"
   kind="interrupt"
   version="13.1"
   version="14.0"
   start="pic.interrupt_receiver"
   start="pic.interrupt_receiver"
   end="floppy.interrupt_sender">
   end="floppy.interrupt_sender">
  
  
   int
   int
   6
   6
Line 29477... Line 30140...
  pic
  pic
  interrupt_receiver
  interrupt_receiver
  floppy
  floppy
  interrupt_sender
  interrupt_sender
 
 
 
 
 
   name="reset_only_ao486.reset_out/ao486.reset_sink"
 
   kind="reset"
 
   version="14.0"
 
   start="reset_only_ao486.reset_out"
 
   end="ao486.reset_sink">
 
  
 
   java.lang.String
 
   UNKNOWN
 
   false
 
   true
 
   true
 
   true
 
  
 
  
 
   boolean
 
   false
 
   false
 
   true
 
   true
 
   true
 
  
 
  reset_only_ao486
 
  reset_out
 
  ao486
 
  reset_sink
 
 
 
 
 
   name="reset_sys.reset_out/pc_bus.reset_sink"
 
   kind="reset"
 
   version="14.0"
 
   start="reset_sys.reset_out"
 
   end="pc_bus.reset_sink">
 
  
 
   java.lang.String
 
   UNKNOWN
 
   false
 
   true
 
   true
 
   true
 
  
 
  
 
   boolean
 
   false
 
   false
 
   true
 
   true
 
   true
 
  
 
  reset_sys
 
  reset_out
 
  pc_bus
 
  reset_sink
 
 
 
 
 
   name="reset_sys.reset_out/ps2.reset_sink"
 
   kind="reset"
 
   version="14.0"
 
   start="reset_sys.reset_out"
 
   end="ps2.reset_sink">
 
  
 
   java.lang.String
 
   UNKNOWN
 
   false
 
   true
 
   true
 
   true
 
  
 
  
 
   boolean
 
   false
 
   false
 
   true
 
   true
 
   true
 
  
 
  reset_sys
 
  reset_out
 
  ps2
 
  reset_sink
 
 
 
 
 
  3
 
  clock_source
 
  com.altera.entityinterfaces.IElementClass
 
  com.altera.entityinterfaces.IModule
 
  Clock Source
 
  14.0
 
 
 
 
 
  3
 
  clock_sink
 
  com.altera.entityinterfaces.IElementClass
 
  com.altera.entityinterfaces.IMutableConnectionPoint
 
  Clock Input
 
  14.0
 
 
 
 
  2
  3
  altera_avalon_pio
  reset_sink
  com.altera.entityinterfaces.IElementClass
  com.altera.entityinterfaces.IElementClass
  com.altera.entityinterfaces.IModule
  com.altera.entityinterfaces.IMutableConnectionPoint
  PIO (Parallel I/O)
  Reset Input
  13.1
  14.0
 
 
 
 
 
  3
 
  clock_source
 
  com.altera.entityinterfaces.IElementClass
 
  com.altera.entityinterfaces.IMutableConnectionPoint
 
  Clock Output
 
  14.0
 
 
 
 
 
  3
 
  reset_source
 
  com.altera.entityinterfaces.IElementClass
 
  com.altera.entityinterfaces.IMutableConnectionPoint
 
  Reset Output
 
  14.0
 
 
 
 
  1
  1
  ao486
  ao486
  com.altera.entityinterfaces.IElementClass
  com.altera.entityinterfaces.IElementClass
  com.altera.entityinterfaces.IModule
  com.altera.entityinterfaces.IModule
  ao486
  ao486
  1.0
  1.0
 
 
 
 
  6
  21
  conduit
  clock_sink
  com.altera.entityinterfaces.IElementClass
  com.altera.entityinterfaces.IElementClass
  com.altera.entityinterfaces.IConnection
  com.altera.entityinterfaces.IMutableConnectionPoint
  Conduit Connection
  Clock Input
  13.1
  14.0
 
 
 
 
  1
  23
  pc_dma
  reset_sink
  com.altera.entityinterfaces.IElementClass
  com.altera.entityinterfaces.IElementClass
  com.altera.entityinterfaces.IModule
  com.altera.entityinterfaces.IMutableConnectionPoint
  pc_dma
  Reset Input
  1.0
  14.0
 
 
 
 
  8
  11
  interrupt_sender
  avalon_master
  com.altera.entityinterfaces.IElementClass
  com.altera.entityinterfaces.IElementClass
  com.altera.entityinterfaces.IMutableConnectionPoint
  com.altera.entityinterfaces.IMutableConnectionPoint
  Interrupt Sender
  Avalon Memory Mapped Master
  13.1
  14.0
 
 
 
 
  1
  22
  pit
  conduit_end
  com.altera.entityinterfaces.IElementClass
  com.altera.entityinterfaces.IElementClass
  com.altera.entityinterfaces.IModule
  com.altera.entityinterfaces.IMutableConnectionPoint
  pit
  Conduit
  1.0
  14.0
 
 
 
 
  1
  1
  pic
  altera_nios2_qsys
  com.altera.entityinterfaces.IElementClass
  com.altera.entityinterfaces.IElementClass
  com.altera.entityinterfaces.IModule
  com.altera.entityinterfaces.IModule
  pic
  Nios II Processor
  1.0
  14.0
 
 
 
 
  3
  2
  clock_source
  interrupt_receiver
  com.altera.entityinterfaces.IElementClass
  com.altera.entityinterfaces.IElementClass
  com.altera.entityinterfaces.IMutableConnectionPoint
  com.altera.entityinterfaces.IMutableConnectionPoint
  Clock Output
  Interrupt Receiver
  13.1
  14.0
 
 
 
 
  1
  3
  nios_custom_instruction_master
  reset_source
  com.altera.entityinterfaces.IElementClass
  com.altera.entityinterfaces.IElementClass
  com.altera.entityinterfaces.IMutableConnectionPoint
  com.altera.entityinterfaces.IMutableConnectionPoint
  Custom Instruction Master
  Reset Output
  13.1
  14.0
 
 
 
 
  3
  35
  clock_sink
  avalon_slave
  com.altera.entityinterfaces.IElementClass
  com.altera.entityinterfaces.IElementClass
  com.altera.entityinterfaces.IMutableConnectionPoint
  com.altera.entityinterfaces.IMutableConnectionPoint
  Clock Input
  Avalon Memory Mapped Slave
  13.1
  14.0
 
 
 
 
  8
  1
  interrupt
  nios_custom_instruction_master
  com.altera.entityinterfaces.IElementClass
  com.altera.entityinterfaces.IElementClass
  com.altera.entityinterfaces.IConnection
  com.altera.entityinterfaces.IMutableConnectionPoint
  Interrupt Connection
  Custom Instruction Master
  13.1
  14.0
 
 
 
 
  1
  1
  driver_sound
  altera_avalon_onchip_memory2
  com.altera.entityinterfaces.IElementClass
  com.altera.entityinterfaces.IElementClass
  com.altera.entityinterfaces.IModule
  com.altera.entityinterfaces.IModule
  driver_sound
  On-Chip Memory (RAM or ROM)
  1.0
  14.0
 
 
 
 
  1
  1
  hdd
  altera_avalon_jtag_uart
  com.altera.entityinterfaces.IElementClass
  com.altera.entityinterfaces.IElementClass
  com.altera.entityinterfaces.IModule
  com.altera.entityinterfaces.IModule
  hdd
  JTAG UART
  1.0
  14.0
 
 
 
 
  44
  8
  avalon
  interrupt_sender
  com.altera.entityinterfaces.IElementClass
  com.altera.entityinterfaces.IElementClass
  com.altera.entityinterfaces.IConnection
  com.altera.entityinterfaces.IMutableConnectionPoint
  Avalon Memory Mapped Connection
  Interrupt Sender
  13.1
  14.0
 
 
 
 
  1
  1
  driver_sd
  pc_bus
  com.altera.entityinterfaces.IElementClass
  com.altera.entityinterfaces.IElementClass
  com.altera.entityinterfaces.IModule
  com.altera.entityinterfaces.IModule
  driver_sd
  pc_bus
  1.0
  1.0
 
 
 
 
  1
  1
  floppy
  vga
  com.altera.entityinterfaces.IElementClass
  com.altera.entityinterfaces.IElementClass
  com.altera.entityinterfaces.IModule
  com.altera.entityinterfaces.IModule
  floppy
  vga
  1.0
  1.0
 
 
 
 
  35
 
  avalon_slave
 
  com.altera.entityinterfaces.IElementClass
 
  com.altera.entityinterfaces.IMutableConnectionPoint
 
  Avalon Memory Mapped Slave
 
  13.1
 
 
 
 
 
  1
  1
  altera_nios2_qsys
  altera_avalon_new_sdram_controller
  com.altera.entityinterfaces.IElementClass
  com.altera.entityinterfaces.IElementClass
  com.altera.entityinterfaces.IModule
  com.altera.entityinterfaces.IModule
  Nios II Processor
  SDRAM Controller
  13.1
  14.0
 
 
 
 
  3
 
  reset_source
 
  com.altera.entityinterfaces.IElementClass
 
  com.altera.entityinterfaces.IMutableConnectionPoint
 
  Reset Output
 
  13.1
 
 
 
 
 
  1
  1
  sound
  sound
  com.altera.entityinterfaces.IElementClass
  com.altera.entityinterfaces.IElementClass
  com.altera.entityinterfaces.IModule
  com.altera.entityinterfaces.IModule
  sound
  sound
  1.0
  1.0
 
 
 
 
  11
  1
  avalon_master
  rtc
  com.altera.entityinterfaces.IElementClass
  com.altera.entityinterfaces.IElementClass
  com.altera.entityinterfaces.IMutableConnectionPoint
  com.altera.entityinterfaces.IModule
  Avalon Memory Mapped Master
  rtc
  13.1
  1.0
 
 
 
 
  21
  1
  clock
  pit
  com.altera.entityinterfaces.IElementClass
  com.altera.entityinterfaces.IElementClass
  com.altera.entityinterfaces.IConnection
  com.altera.entityinterfaces.IModule
  Clock Connection
  pit
  13.1
  1.0
 
 
 
 
  23
  1
  reset
  pic
  com.altera.entityinterfaces.IElementClass
  com.altera.entityinterfaces.IElementClass
  com.altera.entityinterfaces.IConnection
  com.altera.entityinterfaces.IModule
  Reset Connection
  pic
  13.1
  1.0
 
 
 
 
  3
  1
  reset_sink
  hdd
  com.altera.entityinterfaces.IElementClass
  com.altera.entityinterfaces.IElementClass
  com.altera.entityinterfaces.IMutableConnectionPoint
  com.altera.entityinterfaces.IModule
  Reset Input
  hdd
  13.1
  1.0
 
 
 
 
  1
  1
  altera_avalon_new_sdram_controller
  floppy
  com.altera.entityinterfaces.IElementClass
  com.altera.entityinterfaces.IElementClass
  com.altera.entityinterfaces.IModule
  com.altera.entityinterfaces.IModule
  SDRAM Controller
  floppy
  13.1
  1.0
 
 
 
 
  1
  1
  vga
  pc_dma
  com.altera.entityinterfaces.IElementClass
  com.altera.entityinterfaces.IElementClass
  com.altera.entityinterfaces.IModule
  com.altera.entityinterfaces.IModule
  vga
  pc_dma
  1.0
  1.0
 
 
 
 
  3
  2
  reset_source
  altera_avalon_pio
  com.altera.entityinterfaces.IElementClass
  com.altera.entityinterfaces.IElementClass
  com.altera.entityinterfaces.IMutableConnectionPoint
  com.altera.entityinterfaces.IModule
  Reset Output
  PIO (Parallel I/O)
  13.1
  14.0
 
 
 
 
  2
  2
  altera_reset_controller
  altera_reset_controller
  com.altera.entityinterfaces.IElementClass
  com.altera.entityinterfaces.IElementClass
  com.altera.entityinterfaces.IModule
  com.altera.entityinterfaces.IModule
  Merlin Reset Controller
  Merlin Reset Controller
  13.1
  14.0
 
 
 
 
  2
 
  interrupt_receiver
 
  com.altera.entityinterfaces.IElementClass
 
  com.altera.entityinterfaces.IMutableConnectionPoint
 
  Interrupt Receiver
 
  13.1
 
 
 
 
 
  1
  1
  altera_avalon_jtag_uart
  driver_sound
  com.altera.entityinterfaces.IElementClass
  com.altera.entityinterfaces.IElementClass
  com.altera.entityinterfaces.IModule
  com.altera.entityinterfaces.IModule
  JTAG UART
  driver_sound
  13.1
  1.0
 
 
 
 
  3
  1
  clock_source
  ps2
  com.altera.entityinterfaces.IElementClass
  com.altera.entityinterfaces.IElementClass
  com.altera.entityinterfaces.IModule
  com.altera.entityinterfaces.IModule
  Clock Source
  ps2
  13.1
  1.0
 
 
 
 
  24
 
  reset_sink
 
  com.altera.entityinterfaces.IElementClass
 
  com.altera.entityinterfaces.IMutableConnectionPoint
 
  Reset Input
 
  13.1
 
 
 
 
 
  20
  1
  conduit_end
  driver_sd
  com.altera.entityinterfaces.IElementClass
  com.altera.entityinterfaces.IElementClass
  com.altera.entityinterfaces.IMutableConnectionPoint
  com.altera.entityinterfaces.IModule
  Conduit
  driver_sd
  13.1
  2.0
 
 
 
 
  21
  21
  clock_sink
  clock
  com.altera.entityinterfaces.IElementClass
  com.altera.entityinterfaces.IElementClass
  com.altera.entityinterfaces.IMutableConnectionPoint
  com.altera.entityinterfaces.IConnection
  Clock Input
  Clock Connection
  13.1
  14.0
 
 
 
 
  1
  44
  rtc
  avalon
  com.altera.entityinterfaces.IElementClass
  com.altera.entityinterfaces.IElementClass
  com.altera.entityinterfaces.IModule
  com.altera.entityinterfaces.IConnection
  rtc
  Avalon Memory Mapped Connection
  1.0
  14.0
 
 
 
 
  1
  8
  altera_avalon_onchip_memory2
  interrupt
  com.altera.entityinterfaces.IElementClass
  com.altera.entityinterfaces.IElementClass
  com.altera.entityinterfaces.IModule
  com.altera.entityinterfaces.IConnection
  On-Chip Memory (RAM or ROM)
  Interrupt Connection
  13.1
  14.0
 
 
 
 
  1
  6
  ps2
  conduit
  com.altera.entityinterfaces.IElementClass
  com.altera.entityinterfaces.IElementClass
  com.altera.entityinterfaces.IModule
  com.altera.entityinterfaces.IConnection
  ps2
  Conduit Connection
  1.0
  14.0
 
 
 
 
  1
  22
  pc_bus
  reset
  com.altera.entityinterfaces.IElementClass
  com.altera.entityinterfaces.IElementClass
  com.altera.entityinterfaces.IModule
  com.altera.entityinterfaces.IConnection
  pc_bus
  Reset Connection
  1.0
  14.0
 
 
 13.1 162
 14.0 200
 
 
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.