OpenCores
URL https://opencores.org/ocsvn/artificial_neural_network/artificial_neural_network/trunk

Subversion Repositories artificial_neural_network

[/] [artificial_neural_network/] [trunk/] [ANN_kernel/] [RTL_VHDL_files/] [activation_function.vhd] - Diff between revs 9 and 10

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 9 Rev 10
Line 74... Line 74...
Sigmoid2_f:
Sigmoid2_f:
   if (f_type = "siglu2") generate
   if (f_type = "siglu2") generate
      siglut_inst: entity work.af_sigmoid2
      siglut_inst: entity work.af_sigmoid2
         generic map
         generic map
         (
         (
 
            Nbit => Nbit
 
         )
 
         port map
 
         (
 
            reset   => reset,
 
            clk     => clk,
 
            run_in  => run_in,
 
            inputs  => inputs,
 
            run_out => run_out,
 
            outputs => outputs
 
         );
 
   end generate;
 
 
 
-- Example 3: sigmoid activation function implemented as a LUT, with a second different set of parameters:
 
sigmoid_mat:
 
   if (f_type = "sigmat") generate
 
      siglut_inst: entity work.af_sigmoid_mat
 
         generic map
 
         (
            Nbit => Nbit,
            Nbit => Nbit,
            lsbit => lsbit
            lsbit => lsbit
         )
         )
         port map
         port map
         (
         (

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.