OpenCores
URL https://opencores.org/ocsvn/artificial_neural_network/artificial_neural_network/trunk

Subversion Repositories artificial_neural_network

[/] [artificial_neural_network/] [trunk/] [ANN_kernel/] [RTL_VHDL_files/] [layerPS_top.vhd] - Diff between revs 8 and 9

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 8 Rev 9
Line 123... Line 123...
   signal en3 : std_logic; -- Shift register enable
   signal en3 : std_logic; -- Shift register enable
   signal en_out : std_logic;
   signal en_out : std_logic;
 
 
   signal input_aux1 : std_logic_vector((NbitIn*NumIn)-1 downto 0);
   signal input_aux1 : std_logic_vector((NbitIn*NumIn)-1 downto 0);
   signal input_aux2 : std_logic_vector((NbitIn*NumIn)-1 downto 0);
   signal input_aux2 : std_logic_vector((NbitIn*NumIn)-1 downto 0);
   signal input_aux3 : std_logic_vector((NbitIn*NumIn)-1 downto 0);
--   signal input_aux3 : std_logic_vector((NbitIn*NumIn)-1 downto 0);
begin
begin
 
 
layerPS_inst: entity work.layerPS
layerPS_inst: entity work.layerPS
   generic map
   generic map
   (
   (

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.