OpenCores
URL https://opencores.org/ocsvn/artificial_neural_network/artificial_neural_network/trunk

Subversion Repositories artificial_neural_network

[/] [artificial_neural_network/] [trunk/] [ANN_kernel/] [RTL_VHDL_files/] [support_pkg.vhd] - Diff between revs 8 and 10

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 8 Rev 10
Line 17... Line 17...
  constant NumIn   : integer := 1;
  constant NumIn   : integer := 1;
  constant NumN    : int_vector(Nlayer-1 downto 0) := assign_ints("2 3 1",Nlayer);
  constant NumN    : int_vector(Nlayer-1 downto 0) := assign_ints("2 3 1",Nlayer);
  constant LSbit   : int_vector(Nlayer-1 downto 0) := assign_ints("8 8 8",Nlayer);
  constant LSbit   : int_vector(Nlayer-1 downto 0) := assign_ints("8 8 8",Nlayer);
  constant NbitO   : int_vector(Nlayer-1 downto 0) := assign_ints("12 12 12",Nlayer);
  constant NbitO   : int_vector(Nlayer-1 downto 0) := assign_ints("12 12 12",Nlayer);
  constant l_type  : string  := "SP PS SP";                  -- Layer type of each layer
  constant l_type  : string  := "SP PS SP";                  -- Layer type of each layer
  constant f_type  : string  := "siglu2 siglu2 siglu2";  -- Activation function type of each layer
  constant f_type  : string  := "sigmat sigmat sigmat";  -- Activation function type of each layer
 
 
  function real2stdlv (bitW : natural; din : real) return std_logic_vector;
  function real2stdlv (bitW : natural; din : real) return std_logic_vector;
 
 
end support_pkg;
end support_pkg;
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.