OpenCores
URL https://opencores.org/ocsvn/async_8b10b_encoder_decoder/async_8b10b_encoder_decoder/trunk

Subversion Repositories async_8b10b_encoder_decoder

[/] [async_8b10b_encoder_decoder/] [trunk/] [Dec8B10B.vhd] - Diff between revs 2 and 3

Show entire file | Details | Blame | View Log

Rev 2 Rev 3
Line 3... Line 3...
-- Engineer             : RyuShinHyung
-- Engineer             : RyuShinHyung
-- 
-- 
-- Create Date  : 02/23/2005
-- Create Date  : 02/23/2005
-- Design Name  : 
-- Design Name  : 
-- Module Name  : DEC8B10B - RTL
-- Module Name  : DEC8B10B - RTL
-- Project Name : DSP Application
-- Project Name : Fiber Optic Application
--
--
-- Revision
-- Revision
-- Revision 0.01 - File Created
-- Revision 0.01 - File Created
-- Comments             : General DEC8B10B
--          1.00 - publishing on the opencores.org
 
--          1.01 - eliminate needing the VECTLIB.vhd in the original revision.(20110424)
--
--
----------------------------------------------------------------------------------
----------------------------------------------------------------------------------
library IEEE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use work.vect_pack.ALL;
 
 
 
entity DEC8B10B is
entity DEC8B10B is
        port
        port
        (
        (
                CLK_IN : IN STD_LOGIC;
                CLK_IN : IN STD_LOGIC;
                ENCODE_IN : in STD_LOGIC_VECTOR(9 downto 0);
                ENCODE_IN : in STD_LOGIC_VECTOR(9 downto 0);
                CTRL_OUT : out STD_LOGIC;
                CTRL_OUT : out STD_LOGIC;
                DATA_OUT : out STD_LOGIC_VECTOR(7 downto 0)
                DATA_OUT : out STD_LOGIC_VECTOR(7 downto 0)
        );
        );
--attribute FAST : string;
 
--attribute SLOW : string;
 
--attribute FAST of DEC8B10B : entity is "TRUE";
 
--attribute SLOW of DEC8B10B : entity is "FALSE";       
 
end DEC8B10B;
end DEC8B10B;
 
 
architecture RTL of DEC8B10B is
architecture RTL of DEC8B10B is
 
 
constant DEC8b10bERR : std_logic_vector := "100000000";
constant DEC8b10bERR : std_logic_vector := "100000000";

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.