OpenCores
URL https://opencores.org/ocsvn/core1990_interlaken/core1990_interlaken/trunk

Subversion Repositories core1990_interlaken

[/] [core1990_interlaken/] [trunk/] [gateware/] [simulation/] [decoder_tb.vhd] - Diff between revs 6 and 9

Show entire file | Details | Blame | View Log

Rev 6 Rev 9
Line 35... Line 35...
    Data_control => Data_control,
    Data_control => Data_control,
 
 
    Sync_Locked => Sync_locked,
    Sync_Locked => Sync_locked,
    Sync_error => Sync_error,
    Sync_error => Sync_error,
    Bitslip => Bitslip
    Bitslip => Bitslip
 
 
  );
  );
 
 
   Clk_process :process
   Clk_process :process
     begin
     begin
          clk <= '1';
          clk <= '1';

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.