OpenCores
URL https://opencores.org/ocsvn/cpu6502_true_cycle/cpu6502_true_cycle/trunk

Subversion Repositories cpu6502_true_cycle

[/] [cpu6502_true_cycle/] [trunk/] [rtl/] [vhdl/] [core.vhd] - Diff between revs 18 and 24

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 18 Rev 24
Line 1... Line 1...
-- VHDL Entity R6502_TC.Core.symbol
-- VHDL Entity R6502_TC.Core.symbol
--
--
-- Created:
-- Created:
--          by - eda.UNKNOWN (ENTWICKL4-XP-PR)
--          by - eda.UNKNOWN (ENTW1)
--          at - 11:47:55 23.02.2009
--          at - 14:13:52 08.03.2010
--
--
-- Generated by Mentor Graphics' HDL Designer(TM) 2007.1a (Build 13)
-- Generated by Mentor Graphics' HDL Designer(TM) 2009.1 (Build 12)
--
--
LIBRARY ieee;
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_arith.all;
USE ieee.std_logic_arith.all;
 
 
entity Core is
ENTITY Core IS
   port(
   PORT(
      clk_clk_i   : in     std_logic;
      clk_clk_i   : IN     std_logic;
      d_i         : in     std_logic_vector (7 downto 0);
      d_i         : IN     std_logic_vector (7 DOWNTO 0);
      irq_n_i     : in     std_logic;
      irq_n_i     : IN     std_logic;
      nmi_n_i     : in     std_logic;
      nmi_n_i     : IN     std_logic;
      rdy_i       : in     std_logic;
      rdy_i       : IN     std_logic;
      rst_rst_n_i : in     std_logic;
      rst_rst_n_i : IN     std_logic;
      so_n_i      : in     std_logic;
      so_n_i      : IN     std_logic;
      a_o         : out    std_logic_vector (15 downto 0);
      a_o         : OUT    std_logic_vector (15 DOWNTO 0);
      d_o         : out    std_logic_vector (7 downto 0);
      d_o         : OUT    std_logic_vector (7 DOWNTO 0);
      rd_o        : out    std_logic;
      rd_o        : OUT    std_logic;
      sync_o      : out    std_logic;
      sync_o      : OUT    std_logic;
      wr_o        : out    std_logic
      wr_n_o      : OUT    std_logic;
 
      wr_o        : OUT    std_logic
   );
   );
 
 
-- Declarations
-- Declarations
 
 
end Core ;
END Core ;
 
 
-- Jens-D. Gutschmidt     Project:  R6502_TC  
-- Jens-D. Gutschmidt     Project:  R6502_TC  
-- scantara2003@yahoo.de                      
-- scantara2003@yahoo.de                      
-- COPYRIGHT (C) 2008-2009 by Jens Gutschmidt and OPENCORES.ORG                                                                                                                             
-- COPYRIGHT (C) 2008-2010  by Jens Gutschmidt and OPENCORES.ORG                                                                                                                            
--                                                                                                                                                                                          
--                                                                                                                                                                                          
-- This program is free software: you can redistribute it and/or modify it under the terms of the GNU General Public License as published by the Free Software Foundation, either version   
-- This program is free software: you can redistribute it and/or modify it under the terms of the GNU General Public License as published by the Free Software Foundation, either version   
-- 3 of the License, or any later version.                                                                                                                                                  
-- 3 of the License, or any later version.                                                                                                                                                  
--                                                                                                                                                                                          
--                                                                                                                                                                                          
-- This program is distributed in the hope that it will be useful, but WITHOUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR A                          
-- This program is distributed in the hope that it will be useful, but WITHOUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR A                          
Line 42... Line 43...
--                                                                                                                                                                                          
--                                                                                                                                                                                          
-- You should have received a copy of the GNU General Public License along with this program.  If not, see <http://www.gnu.org/licenses/>.                                                  
-- You should have received a copy of the GNU General Public License along with this program.  If not, see <http://www.gnu.org/licenses/>.                                                  
--                                                                                                                                                                                          
--                                                                                                                                                                                          
-- CVS Revisins History                                                                                                                                                                     
-- CVS Revisins History                                                                                                                                                                     
--                                                                                                                                                                                          
--                                                                                                                                                                                          
-- $Log: not supported by cvs2svn $                                                                                                                                                                      
-- $Log: struct.bd,v $                                                                                                                                                                      
--   <<-- more -->>                                                                                                                                                                         
--   <<-- more -->>                                                                                                                                                                         
-- Title:  Core  
-- Title:  Core  
-- Path:  R6502_TC/Core/struct  
-- Path:  R6502_TC/Core/struct  
-- Edited:  by eda on 10 Feb 2009  
-- Edited:  by eda on 08 Feb 2010  
--
--
-- VHDL Architecture R6502_TC.Core.struct
-- VHDL Architecture R6502_TC.Core.struct
--
--
-- Created:
-- Created:
--          by - eda.UNKNOWN (ENTWICKL4-XP-PR)
--          by - eda.UNKNOWN (ENTW1)
--          at - 11:47:57 23.02.2009
--          at - 14:13:53 08.03.2010
--
--
-- Generated by Mentor Graphics' HDL Designer(TM) 2007.1a (Build 13)
-- Generated by Mentor Graphics' HDL Designer(TM) 2009.1 (Build 12)
--
--
LIBRARY ieee;
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_arith.all;
USE ieee.std_logic_arith.all;
 
 
library R6502_TC;
LIBRARY R6502_TC;
 
 
architecture struct of Core is
ARCHITECTURE struct OF Core IS
 
 
   -- Architecture declarations
   -- Architecture declarations
 
 
   -- Internal signal declarations
   -- Internal signal declarations
   signal adr_nxt_pc_o_i : std_logic_vector(15 downto 0);
   SIGNAL adr_nxt_pc_o_i : std_logic_vector(15 DOWNTO 0);
   signal adr_o_i        : std_logic_vector(15 downto 0);
   SIGNAL adr_o_i        : std_logic_vector(15 DOWNTO 0);
   signal adr_pc_o_i     : std_logic_vector(15 downto 0);
   SIGNAL adr_pc_o_i     : std_logic_vector(15 DOWNTO 0);
   signal adr_sp_o_i     : std_logic_vector(15 downto 0);
   SIGNAL adr_sp_o_i     : std_logic_vector(15 DOWNTO 0);
   signal ch_a_o_i       : std_logic_vector(7 downto 0);
   SIGNAL ch_a_o_i       : std_logic_vector(7 DOWNTO 0);
   signal ch_b_o_i       : std_logic_vector(7 downto 0);
   SIGNAL ch_b_o_i       : std_logic_vector(7 DOWNTO 0);
   signal d_alu_n_o_i    : std_logic;
   SIGNAL d_alu_n_o_i    : std_logic;
   signal d_alu_o_i      : std_logic_vector(7 downto 0);
   SIGNAL d_alu_o_i      : std_logic_vector(7 DOWNTO 0);
   signal d_alu_or_o_i   : std_logic;
   SIGNAL d_alu_or_o_i   : std_logic;
   signal d_regs_in_o_i  : std_logic_vector(7 downto 0);
   SIGNAL d_regs_in_o_i  : std_logic_vector(7 DOWNTO 0);
   signal d_regs_out_o_i : std_logic_vector(7 downto 0);
   SIGNAL d_regs_out_o_i : std_logic_vector(7 DOWNTO 0);
   signal fetch_o_i      : std_logic;
   SIGNAL ld_o_i         : std_logic_vector(1 DOWNTO 0);
   signal ld_o_i         : std_logic_vector(1 downto 0);
   SIGNAL ld_pc_o_i      : std_logic;
   signal ld_pc_o_i      : std_logic;
   SIGNAL ld_sp_o_i      : std_logic;
   signal ld_sp_o_i      : std_logic;
   SIGNAL load_regs_o_i  : std_logic;
   signal load_regs_o_i  : std_logic;
   SIGNAL nmi_o_i        : std_logic;
   signal nmi_o_i        : std_logic;
   SIGNAL offset_o_i     : std_logic_vector(15 DOWNTO 0);
   signal offset_o_i     : std_logic_vector(15 downto 0);
   SIGNAL q_a_o_i        : std_logic_vector(7 DOWNTO 0);
   signal q_a_o_i        : std_logic_vector(7 downto 0);
   SIGNAL q_x_o_i        : std_logic_vector(7 DOWNTO 0);
   signal q_x_o_i        : std_logic_vector(7 downto 0);
   SIGNAL q_y_o_i        : std_logic_vector(7 DOWNTO 0);
   signal q_y_o_i        : std_logic_vector(7 downto 0);
   SIGNAL reg_0flag_o_i  : std_logic;
   signal reg_0flag_o_i  : std_logic;
   SIGNAL reg_1flag_o_i  : std_logic;
   signal reg_1flag_o_i  : std_logic;
   SIGNAL reg_7flag_o_i  : std_logic;
   signal reg_7flag_o_i  : std_logic;
   SIGNAL rst_nmi_o_i    : std_logic;
   signal sel_pc_in_o_i  : std_logic;
   SIGNAL sel_pc_in_o_i  : std_logic;
   signal sel_pc_val_o_i : std_logic_vector(1 downto 0);
   SIGNAL sel_pc_val_o_i : std_logic_vector(1 DOWNTO 0);
   signal sel_rb_in_o_i  : std_logic_vector(1 downto 0);
   SIGNAL sel_rb_in_o_i  : std_logic_vector(1 DOWNTO 0);
   signal sel_rb_out_o_i : std_logic_vector(1 downto 0);
   SIGNAL sel_rb_out_o_i : std_logic_vector(1 DOWNTO 0);
   signal sel_reg_o_i    : std_logic_vector(1 downto 0);
   SIGNAL sel_reg_o_i    : std_logic_vector(1 DOWNTO 0);
   signal sel_sp_as_o_i  : std_logic;
   SIGNAL sel_sp_as_o_i  : std_logic;
   signal sel_sp_in_o_i  : std_logic;
   SIGNAL sel_sp_in_o_i  : std_logic;
 
 
 
 
   -- ModuleWare signal declarations(v1.9) for instance 'U_11' of 'add'
 
   signal mw_U_11temp_din0 : std_logic_vector(8 downto 0);
 
   signal mw_U_11temp_din1 : std_logic_vector(8 downto 0);
 
   signal mw_U_11sum : unsigned(8 downto 0);
 
 
 
   -- Component Declarations
   -- Component Declarations
   component FSM_Execution_Unit
   COMPONENT FSM_Execution_Unit
   port (
   PORT (
      adr_nxt_pc_i : in     std_logic_vector (15 downto 0);
      adr_nxt_pc_i : IN     std_logic_vector (15 DOWNTO 0);
      adr_pc_i     : in     std_logic_vector (15 downto 0);
      adr_pc_i     : IN     std_logic_vector (15 DOWNTO 0);
      adr_sp_i     : in     std_logic_vector (15 downto 0);
      adr_sp_i     : IN     std_logic_vector (15 DOWNTO 0);
      clk_clk_i    : in     std_logic ;
      clk_clk_i    : IN     std_logic ;
      d_alu_i      : in     std_logic_vector ( 7 downto 0 );
      d_alu_i      : IN     std_logic_vector ( 7 DOWNTO 0 );
      d_i          : in     std_logic_vector ( 7 downto 0 );
      d_i          : IN     std_logic_vector ( 7 DOWNTO 0 );
      d_regs_out_i : in     std_logic_vector ( 7 downto 0 );
      d_regs_out_i : IN     std_logic_vector ( 7 DOWNTO 0 );
      irq_n_i      : in     std_logic ;
      irq_n_i      : IN     std_logic ;
      nmi_i        : in     std_logic ;
      nmi_i        : IN     std_logic ;
      q_a_i        : in     std_logic_vector ( 7 downto 0 );
      q_a_i        : IN     std_logic_vector ( 7 DOWNTO 0 );
      q_x_i        : in     std_logic_vector ( 7 downto 0 );
      q_x_i        : IN     std_logic_vector ( 7 DOWNTO 0 );
      q_y_i        : in     std_logic_vector ( 7 downto 0 );
      q_y_i        : IN     std_logic_vector ( 7 DOWNTO 0 );
      rdy_i        : in     std_logic ;
      rdy_i        : IN     std_logic ;
      reg_0flag_i  : in     std_logic ;
      reg_0flag_i  : IN     std_logic ;
      reg_1flag_i  : in     std_logic ;
      reg_1flag_i  : IN     std_logic ;
      reg_7flag_i  : in     std_logic ;
      reg_7flag_i  : IN     std_logic ;
      rst_rst_n_i  : in     std_logic ;
      rst_rst_n_i  : IN     std_logic ;
      so_n_i       : in     std_logic ;
      so_n_i       : IN     std_logic ;
      a_o          : out    std_logic_vector (15 downto 0);
      a_o          : OUT    std_logic_vector (15 DOWNTO 0);
      adr_o        : out    std_logic_vector (15 downto 0);
      adr_o        : OUT    std_logic_vector (15 DOWNTO 0);
      ch_a_o       : out    std_logic_vector ( 7 downto 0 );
      ch_a_o       : OUT    std_logic_vector ( 7 DOWNTO 0 );
      ch_b_o       : out    std_logic_vector ( 7 downto 0 );
      ch_b_o       : OUT    std_logic_vector ( 7 DOWNTO 0 );
      d_o          : out    std_logic_vector ( 7 downto 0 );
      d_o          : OUT    std_logic_vector ( 7 DOWNTO 0 );
      d_regs_in_o  : out    std_logic_vector ( 7 downto 0 );
      d_regs_in_o  : OUT    std_logic_vector ( 7 DOWNTO 0 );
      fetch_o      : out    std_logic ;
      ld_o         : OUT    std_logic_vector ( 1 DOWNTO 0 );
      ld_o         : out    std_logic_vector ( 1 downto 0 );
      ld_pc_o      : OUT    std_logic ;
      ld_pc_o      : out    std_logic ;
      ld_sp_o      : OUT    std_logic ;
      ld_sp_o      : out    std_logic ;
      load_regs_o  : OUT    std_logic ;
      load_regs_o  : out    std_logic ;
      offset_o     : OUT    std_logic_vector ( 15 DOWNTO 0 );
      offset_o     : out    std_logic_vector ( 15 downto 0 );
      rd_o         : OUT    std_logic ;
      rd_o         : out    std_logic ;
      rst_nmi_o    : OUT    std_logic ;
      sel_pc_in_o  : out    std_logic ;
      sel_pc_in_o  : OUT    std_logic ;
      sel_pc_val_o : out    std_logic_vector ( 1 downto 0 );
      sel_pc_val_o : OUT    std_logic_vector ( 1 DOWNTO 0 );
      sel_rb_in_o  : out    std_logic_vector ( 1 downto 0 );
      sel_rb_in_o  : OUT    std_logic_vector ( 1 DOWNTO 0 );
      sel_rb_out_o : out    std_logic_vector ( 1 downto 0 );
      sel_rb_out_o : OUT    std_logic_vector ( 1 DOWNTO 0 );
      sel_reg_o    : out    std_logic_vector ( 1 downto 0 );
      sel_reg_o    : OUT    std_logic_vector ( 1 DOWNTO 0 );
      sel_sp_as_o  : out    std_logic ;
      sel_sp_as_o  : OUT    std_logic ;
      sel_sp_in_o  : out    std_logic ;
      sel_sp_in_o  : OUT    std_logic ;
      sync_o       : out    std_logic ;
      sync_o       : OUT    std_logic ;
      wr_o         : out    std_logic
      wr_n_o       : OUT    std_logic ;
   );
      wr_o         : OUT    std_logic
   end component;
   );
   component FSM_NMI
   END COMPONENT;
   port (
   COMPONENT FSM_NMI
      clk_clk_i   : in     std_logic ;
   PORT (
      fetch_i     : in     std_logic ;
      clk_clk_i   : IN     std_logic ;
      nmi_n_i     : in     std_logic ;
      nmi_n_i     : IN     std_logic ;
      rst_rst_n_i : in     std_logic ;
      rst_nmi_i   : IN     std_logic ;
      nmi_o       : out    std_logic
      rst_rst_n_i : IN     std_logic ;
   );
      nmi_o       : OUT    std_logic
   end component;
   );
   component RegBank_AXY
   END COMPONENT;
   port (
   COMPONENT RegBank_AXY
      clk_clk_i    : in     std_logic ;
   PORT (
      d_regs_in_i  : in     std_logic_vector (7 downto 0);
      clk_clk_i    : IN     std_logic ;
      load_regs_i  : in     std_logic ;
      d_regs_in_i  : IN     std_logic_vector (7 DOWNTO 0);
      rst_rst_n_i  : in     std_logic ;
      load_regs_i  : IN     std_logic ;
      sel_rb_in_i  : in     std_logic_vector (1 downto 0);
      rst_rst_n_i  : IN     std_logic ;
      sel_rb_out_i : in     std_logic_vector (1 downto 0);
      sel_rb_in_i  : IN     std_logic_vector (1 DOWNTO 0);
      sel_reg_i    : in     std_logic_vector (1 downto 0);
      sel_rb_out_i : IN     std_logic_vector (1 DOWNTO 0);
      d_regs_out_o : out    std_logic_vector (7 downto 0);
      sel_reg_i    : IN     std_logic_vector (1 DOWNTO 0);
      q_a_o        : out    std_logic_vector (7 downto 0);
      d_regs_out_o : OUT    std_logic_vector (7 DOWNTO 0);
      q_x_o        : out    std_logic_vector (7 downto 0);
      q_a_o        : OUT    std_logic_vector (7 DOWNTO 0);
      q_y_o        : out    std_logic_vector (7 downto 0)
      q_x_o        : OUT    std_logic_vector (7 DOWNTO 0);
   );
      q_y_o        : OUT    std_logic_vector (7 DOWNTO 0)
   end component;
   );
   component Reg_PC
   END COMPONENT;
   port (
   COMPONENT Reg_PC
      adr_i        : in     std_logic_vector (15 downto 0);
   PORT (
      clk_clk_i    : in     std_logic ;
      adr_i        : IN     std_logic_vector (15 DOWNTO 0);
      ld_i         : in     std_logic_vector (1 downto 0);
      clk_clk_i    : IN     std_logic ;
      ld_pc_i      : in     std_logic ;
      ld_i         : IN     std_logic_vector (1 DOWNTO 0);
      offset_i     : in     std_logic_vector (15 downto 0);
      ld_pc_i      : IN     std_logic ;
      rst_rst_n_i  : in     std_logic ;
      offset_i     : IN     std_logic_vector (15 DOWNTO 0);
      sel_pc_in_i  : in     std_logic ;
      rst_rst_n_i  : IN     std_logic ;
      sel_pc_val_i : in     std_logic_vector (1 downto 0);
      sel_pc_in_i  : IN     std_logic ;
      adr_nxt_pc_o : out    std_logic_vector (15 downto 0);
      sel_pc_val_i : IN     std_logic_vector (1 DOWNTO 0);
      adr_pc_o     : out    std_logic_vector (15 downto 0)
      adr_nxt_pc_o : OUT    std_logic_vector (15 DOWNTO 0);
   );
      adr_pc_o     : OUT    std_logic_vector (15 DOWNTO 0)
   end component;
   );
   component Reg_SP
   END COMPONENT;
   port (
   COMPONENT Reg_SP
      adr_low_i   : in     std_logic_vector (7 downto 0);
   PORT (
      clk_clk_i   : in     std_logic ;
      adr_low_i   : IN     std_logic_vector (7 DOWNTO 0);
      ld_low_i    : in     std_logic ;
      clk_clk_i   : IN     std_logic ;
      ld_sp_i     : in     std_logic ;
      ld_low_i    : IN     std_logic ;
      rst_rst_n_i : in     std_logic ;
      ld_sp_i     : IN     std_logic ;
      sel_sp_as_i : in     std_logic ;
      rst_rst_n_i : IN     std_logic ;
      sel_sp_in_i : in     std_logic ;
      sel_sp_as_i : IN     std_logic ;
      adr_sp_o    : out    std_logic_vector (15 downto 0)
      sel_sp_in_i : IN     std_logic ;
 
      adr_sp_o    : OUT    std_logic_vector (15 DOWNTO 0)
   );
   );
   end component;
   END COMPONENT;
 
 
   -- Optional embedded configurations
   -- Optional embedded configurations
   -- pragma synthesis_off
   -- pragma synthesis_off
   for all : FSM_Execution_Unit use entity R6502_TC.FSM_Execution_Unit;
   FOR ALL : FSM_Execution_Unit USE ENTITY R6502_TC.FSM_Execution_Unit;
   for all : FSM_NMI use entity R6502_TC.FSM_NMI;
   FOR ALL : FSM_NMI USE ENTITY R6502_TC.FSM_NMI;
   for all : RegBank_AXY use entity R6502_TC.RegBank_AXY;
   FOR ALL : RegBank_AXY USE ENTITY R6502_TC.RegBank_AXY;
   for all : Reg_PC use entity R6502_TC.Reg_PC;
   FOR ALL : Reg_PC USE ENTITY R6502_TC.Reg_PC;
   for all : Reg_SP use entity R6502_TC.Reg_SP;
   FOR ALL : Reg_SP USE ENTITY R6502_TC.Reg_SP;
   -- pragma synthesis_on
   -- pragma synthesis_on
 
 
 
 
begin
BEGIN
 
 
   -- ModuleWare code(v1.9) for instance 'U_11' of 'add'
   -- ModuleWare code(v1.9) for instance 'U_11' of 'add'
   mw_U_11temp_din0 <= '0' & ch_a_o_i;
   u_11combo_proc: PROCESS (ch_a_o_i, ch_b_o_i)
   mw_U_11temp_din1 <= '0' & ch_b_o_i;
   VARIABLE temp_din0 : std_logic_vector(8 DOWNTO 0);
   u_11combo_proc: process (mw_U_11temp_din0, mw_U_11temp_din1)
   VARIABLE temp_din1 : std_logic_vector(8 DOWNTO 0);
   variable temp_carry : std_logic;
   VARIABLE temp_sum : unsigned(8 DOWNTO 0);
   begin
   VARIABLE temp_carry : std_logic;
 
   BEGIN
 
      temp_din0 := '0' & ch_a_o_i;
 
      temp_din1 := '0' & ch_b_o_i;
      temp_carry := '0';
      temp_carry := '0';
      mw_U_11sum <= unsigned(mw_U_11temp_din0) + unsigned(mw_U_11temp_din1) + temp_carry;
      temp_sum := unsigned(temp_din0) + unsigned(temp_din1) + temp_carry;
   end process u_11combo_proc;
      d_alu_o_i <= conv_std_logic_vector(temp_sum(7 DOWNTO 0),8);
   d_alu_o_i <= conv_std_logic_vector(mw_U_11sum(7 downto 0),8);
      reg_0flag_o_i <= temp_sum(8) ;
   reg_0flag_o_i <= mw_U_11sum(8) ;
   END PROCESS u_11combo_proc;
 
 
   -- ModuleWare code(v1.9) for instance 'U_8' of 'inv'
   -- ModuleWare code(v1.9) for instance 'U_8' of 'inv'
   reg_1flag_o_i <= not(d_alu_or_o_i);
   reg_1flag_o_i <= NOT(d_alu_or_o_i);
 
 
   -- ModuleWare code(v1.9) for instance 'U_9' of 'inv'
   -- ModuleWare code(v1.9) for instance 'U_9' of 'inv'
   reg_7flag_o_i <= not(d_alu_n_o_i);
   reg_7flag_o_i <= NOT(d_alu_n_o_i);
 
 
   -- ModuleWare code(v1.9) for instance 'U_10' of 'inv'
   -- ModuleWare code(v1.9) for instance 'U_10' of 'inv'
   d_alu_n_o_i <= not(d_alu_o_i(7));
   d_alu_n_o_i <= NOT(d_alu_o_i(7));
 
 
   -- ModuleWare code(v1.9) for instance 'U_7' of 'por'
   -- ModuleWare code(v1.9) for instance 'U_7' of 'por'
   d_alu_or_o_i <= d_alu_o_i(0) or  d_alu_o_i(1) or  d_alu_o_i(2) or  d_alu_o_i(3) or  d_alu_o_i(4) or  d_alu_o_i(5) or  d_alu_o_i(6) or  d_alu_o_i(7);
   d_alu_or_o_i <= d_alu_o_i(0) OR  d_alu_o_i(1) OR  d_alu_o_i(2) OR  d_alu_o_i(3) OR  d_alu_o_i(4) OR  d_alu_o_i(5) OR  d_alu_o_i(6) OR  d_alu_o_i(7);
 
 
   -- Instance port mappings.
   -- Instance port mappings.
   U_4 : FSM_Execution_Unit
   U_4 : FSM_Execution_Unit
      port map (
      PORT MAP (
         adr_nxt_pc_i => adr_nxt_pc_o_i,
         adr_nxt_pc_i => adr_nxt_pc_o_i,
         adr_pc_i     => adr_pc_o_i,
         adr_pc_i     => adr_pc_o_i,
         adr_sp_i     => adr_sp_o_i,
         adr_sp_i     => adr_sp_o_i,
         clk_clk_i    => clk_clk_i,
         clk_clk_i    => clk_clk_i,
         d_alu_i      => d_alu_o_i,
         d_alu_i      => d_alu_o_i,
Line 264... Line 264...
         adr_o        => adr_o_i,
         adr_o        => adr_o_i,
         ch_a_o       => ch_a_o_i,
         ch_a_o       => ch_a_o_i,
         ch_b_o       => ch_b_o_i,
         ch_b_o       => ch_b_o_i,
         d_o          => d_o,
         d_o          => d_o,
         d_regs_in_o  => d_regs_in_o_i,
         d_regs_in_o  => d_regs_in_o_i,
         fetch_o      => fetch_o_i,
 
         ld_o         => ld_o_i,
         ld_o         => ld_o_i,
         ld_pc_o      => ld_pc_o_i,
         ld_pc_o      => ld_pc_o_i,
         ld_sp_o      => ld_sp_o_i,
         ld_sp_o      => ld_sp_o_i,
         load_regs_o  => load_regs_o_i,
         load_regs_o  => load_regs_o_i,
         offset_o     => offset_o_i,
         offset_o     => offset_o_i,
         rd_o         => rd_o,
         rd_o         => rd_o,
 
         rst_nmi_o    => rst_nmi_o_i,
         sel_pc_in_o  => sel_pc_in_o_i,
         sel_pc_in_o  => sel_pc_in_o_i,
         sel_pc_val_o => sel_pc_val_o_i,
         sel_pc_val_o => sel_pc_val_o_i,
         sel_rb_in_o  => sel_rb_in_o_i,
         sel_rb_in_o  => sel_rb_in_o_i,
         sel_rb_out_o => sel_rb_out_o_i,
         sel_rb_out_o => sel_rb_out_o_i,
         sel_reg_o    => sel_reg_o_i,
         sel_reg_o    => sel_reg_o_i,
         sel_sp_as_o  => sel_sp_as_o_i,
         sel_sp_as_o  => sel_sp_as_o_i,
         sel_sp_in_o  => sel_sp_in_o_i,
         sel_sp_in_o  => sel_sp_in_o_i,
         sync_o       => sync_o,
         sync_o       => sync_o,
 
         wr_n_o       => wr_n_o,
         wr_o         => wr_o
         wr_o         => wr_o
      );
      );
   U_6 : FSM_NMI
   U_6 : FSM_NMI
      port map (
      PORT MAP (
         clk_clk_i   => clk_clk_i,
         clk_clk_i   => clk_clk_i,
         fetch_i     => fetch_o_i,
 
         nmi_n_i     => nmi_n_i,
         nmi_n_i     => nmi_n_i,
 
         rst_nmi_i   => rst_nmi_o_i,
         rst_rst_n_i => rst_rst_n_i,
         rst_rst_n_i => rst_rst_n_i,
         nmi_o       => nmi_o_i
         nmi_o       => nmi_o_i
      );
      );
   U_2 : RegBank_AXY
   U_2 : RegBank_AXY
      port map (
      PORT MAP (
         clk_clk_i    => clk_clk_i,
         clk_clk_i    => clk_clk_i,
         d_regs_in_i  => d_regs_in_o_i,
         d_regs_in_i  => d_regs_in_o_i,
         load_regs_i  => load_regs_o_i,
         load_regs_i  => load_regs_o_i,
         rst_rst_n_i  => rst_rst_n_i,
         rst_rst_n_i  => rst_rst_n_i,
         sel_rb_in_i  => sel_rb_in_o_i,
         sel_rb_in_i  => sel_rb_in_o_i,
Line 304... Line 305...
         q_a_o        => q_a_o_i,
         q_a_o        => q_a_o_i,
         q_x_o        => q_x_o_i,
         q_x_o        => q_x_o_i,
         q_y_o        => q_y_o_i
         q_y_o        => q_y_o_i
      );
      );
   U_0 : Reg_PC
   U_0 : Reg_PC
      port map (
      PORT MAP (
         adr_i        => adr_o_i,
         adr_i        => adr_o_i,
         clk_clk_i    => clk_clk_i,
         clk_clk_i    => clk_clk_i,
         ld_i         => ld_o_i,
         ld_i         => ld_o_i,
         ld_pc_i      => ld_pc_o_i,
         ld_pc_i      => ld_pc_o_i,
         offset_i     => offset_o_i,
         offset_i     => offset_o_i,
Line 317... Line 318...
         sel_pc_val_i => sel_pc_val_o_i,
         sel_pc_val_i => sel_pc_val_o_i,
         adr_nxt_pc_o => adr_nxt_pc_o_i,
         adr_nxt_pc_o => adr_nxt_pc_o_i,
         adr_pc_o     => adr_pc_o_i
         adr_pc_o     => adr_pc_o_i
      );
      );
   U_1 : Reg_SP
   U_1 : Reg_SP
      port map (
      PORT MAP (
         adr_low_i   => adr_o_i(7 DOWNTO 0),
         adr_low_i   => adr_o_i(7 DOWNTO 0),
         clk_clk_i   => clk_clk_i,
         clk_clk_i   => clk_clk_i,
         ld_low_i    => ld_o_i(0),
         ld_low_i    => ld_o_i(0),
         ld_sp_i     => ld_sp_o_i,
         ld_sp_i     => ld_sp_o_i,
         rst_rst_n_i => rst_rst_n_i,
         rst_rst_n_i => rst_rst_n_i,
         sel_sp_as_i => sel_sp_as_o_i,
         sel_sp_as_i => sel_sp_as_o_i,
         sel_sp_in_i => sel_sp_in_o_i,
         sel_sp_in_i => sel_sp_in_o_i,
         adr_sp_o    => adr_sp_o_i
         adr_sp_o    => adr_sp_o_i
      );
      );
 
 
end struct;
END struct;
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.