Line 1... |
Line 1... |
-- VHDL Entity R6502_TC.R6502_TC.symbol
|
-- VHDL Entity r6502_tc.r6502_tc.symbol
|
--
|
--
|
-- Created:
|
-- Created:
|
-- by - eda.UNKNOWN (ENTW1)
|
-- by - eda.UNKNOWN (ENTW-7HPZ200)
|
-- at - 14:13:53 08.03.2010
|
-- at - 10:34:09 11.09.2018
|
--
|
--
|
-- Generated by Mentor Graphics' HDL Designer(TM) 2009.1 (Build 12)
|
-- Generated by Mentor Graphics' HDL Designer(TM) 2016.2 (Build 5)
|
--
|
--
|
LIBRARY ieee;
|
LIBRARY ieee;
|
USE ieee.std_logic_1164.all;
|
USE ieee.std_logic_1164.all;
|
USE ieee.std_logic_arith.all;
|
USE ieee.std_logic_arith.all;
|
|
|
ENTITY R6502_TC IS
|
entity r6502_tc is
|
PORT(
|
port(
|
clk_clk_i : IN std_logic;
|
clk_clk_i : in std_logic;
|
d_i : IN std_logic_vector (7 DOWNTO 0);
|
d_i : in std_logic_vector (7 downto 0);
|
irq_n_i : IN std_logic;
|
irq_n_i : in std_logic;
|
nmi_n_i : IN std_logic;
|
nmi_n_i : in std_logic;
|
rdy_i : IN std_logic;
|
rdy_i : in std_logic;
|
rst_rst_n_i : IN std_logic;
|
rst_rst_n_i : in std_logic;
|
so_n_i : IN std_logic;
|
so_n_i : in std_logic;
|
a_o : OUT std_logic_vector (15 DOWNTO 0);
|
a_o : out std_logic_vector (15 downto 0);
|
d_o : OUT std_logic_vector (7 DOWNTO 0);
|
d_o : out std_logic_vector (7 downto 0);
|
rd_o : OUT std_logic;
|
rd_o : out std_logic;
|
sync_o : OUT std_logic;
|
sync_o : out std_logic;
|
wr_n_o : OUT std_logic;
|
wr_n_o : out std_logic;
|
wr_o : OUT std_logic
|
wr_o : out std_logic
|
);
|
);
|
|
|
-- Declarations
|
-- Declarations
|
|
|
END R6502_TC ;
|
end r6502_tc ;
|
|
|
-- Jens-D. Gutschmidt Project: R6502_TC
|
-- (C) 2008 - 2018 Jens Gutschmidt
|
-- scantara2003@yahoo.de
|
-- (email: opencores@vivare-services.com)
|
-- COPYRIGHT (C) 2008-2010 by Jens Gutschmidt and OPENCORES.ORG
|
|
--
|
--
|
-- This program is free software: you can redistribute it and/or modify it under the terms of the GNU General Public License as published by
|
-- Versions:
|
-- the Free Software Foundation, either version 3 of the License, or any later version.
|
-- Revision 1.4 2018/09/15 22:07:00 jens
|
|
-- - RESET generates SYNC now, 1 dead cycle
|
|
-- delayed
|
|
-- - ADC / SBC flags and A like R6502 now
|
|
-- - Bug Fix ADC and SBC in decimal mode
|
|
-- (all op codes - "Overflow" flag was computed
|
|
-- wrong)
|
|
-- - Interrupt priority order is now: BRK - NMI -
|
|
-- IRQ
|
|
-- - Performance improvements on-going
|
|
-- (Mealy -> Moore)
|
|
-- - Bug Fixes All Branch Instructions
|
|
-- (BCC, BCS, BEQ, BNE, BPL, BMI, BVC,
|
|
-- BVS)
|
|
-- 3 cycles now if branch forward occur and
|
|
-- the branch instruction lies on a xxFEh
|
|
-- location.
|
|
-- - Bug Fix Hardware Interrupts NMI & IRQ -
|
|
-- "SYNC" now
|
|
--
|
|
-- Revision 1.4 BETA 2013/07/24 11:11:00 jens
|
|
-- - Changing the title block and internal revision history
|
|
-- - Bug Fix ADC and SBC (all sub codes - "Overflow" flag was computed wrong)
|
|
--
|
|
-- Revision 1.3 2009/01/04 10:20:50 eda
|
|
-- Changes for cosmetic issues only
|
|
--
|
|
-- Revision 1.2 2009/01/04 09:23:12 eda
|
|
-- - Delete unused nets and blocks
|
|
-- - Rename blocks
|
|
-- - Re-arrage FSM symbols in block FSM_Execution_Unit
|
--
|
--
|
-- This program is distributed in the hope that it will be useful, but WITHOUT ANY WARRANTY; without even the implied warranty of
|
-- Revision 1.1 2009/01/03 16:36:48 eda
|
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License for more details.
|
-- Production version
|
--
|
--
|
-- You should have received a copy of the GNU General Public License along with this program. If not, see <http://www.gnu.org/licenses/>.
|
|
--
|
|
-- CVS Revisins History
|
|
--
|
--
|
-- $Log: struct.bd,v $
|
|
-- <<-- more -->>
|
|
-- Title: Top Level
|
|
-- Path: R6502_TC/R6502_TC/struct
|
|
-- Edited: by eda on 08 Feb 2010
|
|
--
|
--
|
-- VHDL Architecture R6502_TC.R6502_TC.struct
|
-- VHDL Architecture r6502_tc.r6502_tc.struct
|
--
|
--
|
-- Created:
|
-- Created:
|
-- by - eda.UNKNOWN (ENTW1)
|
-- by - eda.UNKNOWN (ENTW-7HPZ200)
|
-- at - 14:13:53 08.03.2010
|
-- at - 22:34:11 15.09.2018
|
|
--
|
|
-- Generated by Mentor Graphics' HDL Designer(TM) 2016.2 (Build 5)
|
|
--
|
|
-- COPYRIGHT (C) 2008 - 2018 by Jens Gutschmidt
|
|
--
|
|
-- This program is free software: you can redistribute it and/or modify it under the terms of the GNU General Public License as published by the Free Software Foundation, either version 3 of the License, or any later version.
|
|
--
|
|
-- This program is distributed in the hope that it will be useful, but WITHOUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License for more details.
|
|
--
|
|
-- You should have received a copy of the GNU General Public License along with this program. If not, see <http://www.gnu.org/licenses/>.
|
--
|
--
|
-- Generated by Mentor Graphics' HDL Designer(TM) 2009.1 (Build 12)
|
|
--
|
--
|
LIBRARY ieee;
|
LIBRARY ieee;
|
USE ieee.std_logic_1164.all;
|
USE ieee.std_logic_1164.all;
|
|
|
LIBRARY R6502_TC;
|
library r6502_tc;
|
|
|
ARCHITECTURE struct OF R6502_TC IS
|
architecture struct of r6502_tc is
|
|
|
-- Architecture declarations
|
-- Architecture declarations
|
|
|
-- Internal signal declarations
|
-- Internal signal declarations
|
|
|
|
|
-- Component Declarations
|
-- Component Declarations
|
COMPONENT Core
|
component core
|
PORT (
|
port (
|
clk_clk_i : IN std_logic ;
|
clk_clk_i : in std_logic ;
|
d_i : IN std_logic_vector (7 DOWNTO 0);
|
d_i : in std_logic_vector (7 downto 0);
|
irq_n_i : IN std_logic ;
|
irq_n_i : in std_logic ;
|
nmi_n_i : IN std_logic ;
|
nmi_n_i : in std_logic ;
|
rdy_i : IN std_logic ;
|
rdy_i : in std_logic ;
|
rst_rst_n_i : IN std_logic ;
|
rst_rst_n_i : in std_logic ;
|
so_n_i : IN std_logic ;
|
so_n_i : in std_logic ;
|
a_o : OUT std_logic_vector (15 DOWNTO 0);
|
a_o : out std_logic_vector (15 downto 0);
|
d_o : OUT std_logic_vector (7 DOWNTO 0);
|
d_o : out std_logic_vector (7 downto 0);
|
rd_o : OUT std_logic ;
|
rd_o : out std_logic ;
|
sync_o : OUT std_logic ;
|
sync_o : out std_logic ;
|
wr_n_o : OUT std_logic ;
|
wr_n_o : out std_logic ;
|
wr_o : OUT std_logic
|
wr_o : out std_logic
|
);
|
);
|
END COMPONENT;
|
end component;
|
|
|
-- Optional embedded configurations
|
-- Optional embedded configurations
|
-- pragma synthesis_off
|
-- pragma synthesis_off
|
FOR ALL : Core USE ENTITY R6502_TC.Core;
|
for all : core use entity r6502_tc.core;
|
-- pragma synthesis_on
|
-- pragma synthesis_on
|
|
|
|
|
BEGIN
|
begin
|
|
|
-- Instance port mappings.
|
-- Instance port mappings.
|
U_0 : Core
|
U_0 : core
|
PORT MAP (
|
port map (
|
clk_clk_i => clk_clk_i,
|
clk_clk_i => clk_clk_i,
|
d_i => d_i,
|
d_i => d_i,
|
irq_n_i => irq_n_i,
|
irq_n_i => irq_n_i,
|
nmi_n_i => nmi_n_i,
|
nmi_n_i => nmi_n_i,
|
rdy_i => rdy_i,
|
rdy_i => rdy_i,
|
Line 114... |
Line 144... |
sync_o => sync_o,
|
sync_o => sync_o,
|
wr_n_o => wr_n_o,
|
wr_n_o => wr_n_o,
|
wr_o => wr_o
|
wr_o => wr_o
|
);
|
);
|
|
|
END struct;
|
end struct;
|
|
|
No newline at end of file
|
No newline at end of file
|