OpenCores
URL https://opencores.org/ocsvn/cpu6502_true_cycle/cpu6502_true_cycle/trunk

Subversion Repositories cpu6502_true_cycle

[/] [cpu6502_true_cycle/] [trunk/] [rtl/] [vhdl/] [reg_sp.vhd] - Diff between revs 24 and 26

Show entire file | Details | Blame | View Log

Rev 24 Rev 26
Line 1... Line 1...
-- VHDL Entity R6502_TC.Reg_SP.symbol
-- VHDL Entity r6502_tc.reg_sp.symbol
--
--
-- Created:
-- Created:
--          by - eda.UNKNOWN (ENTW1)
--          by - remoteghost.UNKNOWN (ENTW-7HPZ200)
--          at - 14:13:51 08.03.2010
--          at - 18:50:08 05/20/10
--
--
-- Generated by Mentor Graphics' HDL Designer(TM) 2009.1 (Build 12)
-- Generated by Mentor Graphics' HDL Designer(TM) 2016.2 (Build 5)
--
--
LIBRARY ieee;
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_arith.all;
USE ieee.std_logic_arith.all;
 
 
ENTITY Reg_SP IS
entity reg_sp is
   PORT(
   port(
      adr_low_i   : IN     std_logic_vector (7 DOWNTO 0);
      adr_low_i   : in     std_logic_vector (7 downto 0);
      clk_clk_i   : IN     std_logic;
      clk_clk_i   : in     std_logic;
      ld_low_i    : IN     std_logic;
      ld_low_i    : in     std_logic;
      ld_sp_i     : IN     std_logic;
      ld_sp_i     : in     std_logic;
      rst_rst_n_i : IN     std_logic;
      rst_rst_n_i : in     std_logic;
      sel_sp_as_i : IN     std_logic;
      sel_sp_as_i : in     std_logic;
      sel_sp_in_i : IN     std_logic;
      sel_sp_in_i : in     std_logic;
      adr_sp_o    : OUT    std_logic_vector (15 DOWNTO 0)
      adr_sp_o    : out    std_logic_vector (15 downto 0)
   );
   );
 
 
-- Declarations
-- Declarations
 
 
END Reg_SP ;
end reg_sp ;
 
 
-- Jens-D. Gutschmidt     Project:  R6502_TC  
-- (C) 2008 - 2018 Jens Gutschmidt
-- scantara2003@yahoo.de                      
-- (email: opencores@vivare-services.com)
-- COPYRIGHT (C) 2008-2010 by Jens Gutschmidt and OPENCORES.ORG                                                                                
 
--                                                                                                                                             
--                                                                                                                                             
-- This program is free software: you can redistribute it and/or modify it under the terms of the GNU General Public License as published by   
-- Versions:
-- the Free Software Foundation, either version 3 of the License, or any later version.                                                        
-- Revision 1.8  2013/07/24 11:11:00  jens
 
-- - Changing the title block and internal revision history
--                                                                                                                                             
--                                                                                                                                             
-- This program is distributed in the hope that it will be useful, but WITHOUT ANY WARRANTY; without even the implied warranty of              
-- Revision 1.6  2009/01/04 10:20:47  eda
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License for more details.                                  
-- Changes for cosmetic issues only
--                                                                                                                                             
--                                                                                                                                             
-- You should have received a copy of the GNU General Public License along with this program.  If not, see <http://www.gnu.org/licenses/>.     
-- Revision 1.5  2009/01/04 09:23:10  eda
 
-- - Delete unused nets and blocks
 
-- - Rename blocks
 
-- 
 
-- Revision 1.4  2009/01/03 16:53:02  eda
 
-- - Unused nets and blocks deleted
 
-- - Renamed blocks
 
-- 
 
-- Revision 1.3  2009/01/03 16:42:02  eda
 
-- - Unused nets and blocks deleted
 
-- - Renamed blocks
--                                                                                                                                             
--                                                                                                                                             
-- CVS Revisins History                                                                                                                        
-- Revision 1.2  2008/12/31 19:31:24  eda
 
-- Production Release
--                                                                                                                                             
--                                                                                                                                             
-- $Log: struct.bd,v $                                                                                                                         
 
--   <<-- more -->>                                                                                                                            
 
-- Title:  Stack Pointer Logic  
 
-- Path:  R6502_TC/Reg_SP/struct  
 
-- Edited:  by eda on 21 Feb 2010  
 
--
--
-- VHDL Architecture R6502_TC.Reg_SP.struct
--
 
-- VHDL Architecture r6502_tc.reg_sp.struct
--
--
-- Created:
-- Created:
--          by - eda.UNKNOWN (ENTW1)
--          by - eda.UNKNOWN (ENTW-7HPZ200)
--          at - 14:13:52 08.03.2010
--          at - 11:44:25 11.09.2018
 
--
 
-- Generated by Mentor Graphics' HDL Designer(TM) 2016.2 (Build 5)
 
--
 
-- COPYRIGHT (C) 2008 - 2018 by Jens Gutschmidt
 
-- 
 
-- This program is free software: you can redistribute it and/or modify it under the terms of the GNU General Public License as published by the Free Software Foundation, either version 3 of the License, or any later version.
 
-- 
 
-- This program is distributed in the hope that it will be useful, but WITHOUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License for more details.
 
-- 
 
-- You should have received a copy of the GNU General Public License along with this program.  If not, see <http://www.gnu.org/licenses/>.
--
--
-- Generated by Mentor Graphics' HDL Designer(TM) 2009.1 (Build 12)
 
--
--
LIBRARY ieee;
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_arith.all;
USE ieee.std_logic_arith.all;
 
 
 
 
ARCHITECTURE struct OF Reg_SP IS
architecture struct of reg_sp is
 
 
   -- Architecture declarations
   -- Architecture declarations
 
 
   -- Internal signal declarations
   -- Internal signal declarations
   SIGNAL adr_sp_low_o_i  : std_logic_vector(7 DOWNTO 0);
   signal adr_sp_low_o_i  : std_logic_vector(7 downto 0);
   SIGNAL load_o_i        : std_logic;
   signal load_o_i        : std_logic;
   SIGNAL result_low1_o_i : std_logic_vector(7 DOWNTO 0);
   signal result_low1_o_i : std_logic_vector(7 downto 0);
   SIGNAL result_low_o_i  : std_logic_vector(7 DOWNTO 0);
   signal result_low_o_i  : std_logic_vector(7 downto 0);
   SIGNAL sp_as_n_o_i     : std_logic;
   signal sp_as_n_o_i     : std_logic;
   SIGNAL val_one         : std_logic_vector(7 DOWNTO 0);
   signal val_one         : std_logic_vector(7 downto 0);
 
 
   -- Implicit buffer signal declarations
   -- Implicit buffer signal declarations
   SIGNAL adr_sp_o_internal : std_logic_vector (15 DOWNTO 0);
   signal adr_sp_o_internal : std_logic_vector (15 downto 0);
 
 
 
 
   -- ModuleWare signal declarations(v1.9) for instance 'U_0' of 'adff'
   -- ModuleWare signal declarations(v1.12) for instance 'U_0' of 'adff'
   SIGNAL mw_U_0reg_cval : std_logic_vector(7 DOWNTO 0);
   signal mw_U_0reg_cval : std_logic_vector(7 downto 0);
 
 
 
 
BEGIN
begin
 
 
   -- ModuleWare code(v1.9) for instance 'U_11' of 'addsub'
   -- ModuleWare code(v1.12) for instance 'U_11' of 'addsub'
   u_11combo_proc: PROCESS (adr_sp_low_o_i, val_one, sp_as_n_o_i)
   u_11combo_proc: process (adr_sp_low_o_i, val_one, sp_as_n_o_i)
   VARIABLE temp_din0 : std_logic_vector(8 DOWNTO 0);
   variable temp_din0 : std_logic_vector(8 downto 0);
   VARIABLE temp_din1 : std_logic_vector(8 DOWNTO 0);
   variable temp_din1 : std_logic_vector(8 downto 0);
   VARIABLE temp_sum : unsigned(8 DOWNTO 0);
   variable temp_sum : unsigned(8 downto 0);
   VARIABLE temp_carry : std_logic;
   variable temp_carry : std_logic;
   BEGIN
   begin
      temp_din0 := '0' & adr_sp_low_o_i;
      temp_din0 := '0' & adr_sp_low_o_i;
      temp_din1 := '0' & val_one;
      temp_din1 := '0' & val_one;
      temp_carry := '0';
      temp_carry := '0';
      IF (sp_as_n_o_i = '1') THEN
      if (sp_as_n_o_i = '1') then
         temp_sum := unsigned(temp_din0) + unsigned(temp_din1) + temp_carry;
         temp_sum := unsigned(temp_din0) + unsigned(temp_din1) + temp_carry;
      ELSE
      else
         temp_sum := unsigned(temp_din0) - unsigned(temp_din1) - temp_carry;
         temp_sum := unsigned(temp_din0) - unsigned(temp_din1) - temp_carry;
      END IF;
      end if;
      result_low_o_i <= conv_std_logic_vector(temp_sum(7 DOWNTO 0),8);
      result_low_o_i <= conv_std_logic_vector(temp_sum(7 downto 0),8);
   END PROCESS u_11combo_proc;
   end process u_11combo_proc;
 
 
   -- ModuleWare code(v1.9) for instance 'U_0' of 'adff'
   -- ModuleWare code(v1.12) for instance 'U_0' of 'adff'
   adr_sp_o_internal(7 DOWNTO 0) <= mw_U_0reg_cval;
   adr_sp_o_internal(7 DOWNTO 0) <= mw_U_0reg_cval;
   u_0seq_proc: PROCESS (clk_clk_i, rst_rst_n_i)
   u_0seq_proc: process (clk_clk_i, rst_rst_n_i)
   BEGIN
   begin
      IF (rst_rst_n_i = '0') THEN
      if (rst_rst_n_i = '0') then
         mw_U_0reg_cval <= "00000000";
         mw_U_0reg_cval <= "00000000";
      ELSIF (clk_clk_i'EVENT AND clk_clk_i='1') THEN
      elsif (clk_clk_i'event and clk_clk_i='1') then
         IF (load_o_i = '1') THEN
         if (load_o_i = '1') then
            mw_U_0reg_cval <= result_low1_o_i;
            mw_U_0reg_cval <= result_low1_o_i;
         END IF;
         end if;
      END IF;
      end if;
   END PROCESS u_0seq_proc;
   end process u_0seq_proc;
 
 
   -- ModuleWare code(v1.9) for instance 'U_6' of 'and'
   -- ModuleWare code(v1.12) for instance 'U_6' of 'and'
   load_o_i <= ld_sp_i AND ld_low_i;
   load_o_i <= ld_sp_i and ld_low_i;
 
 
   -- ModuleWare code(v1.9) for instance 'U_3' of 'buff'
   -- ModuleWare code(v1.12) for instance 'U_3' of 'buff'
   adr_sp_o_internal(15 DOWNTO 8) <= val_one;
   adr_sp_o_internal(15 DOWNTO 8) <= val_one;
 
 
   -- ModuleWare code(v1.9) for instance 'U_4' of 'constval'
   -- ModuleWare code(v1.12) for instance 'U_4' of 'constval'
   val_one <= "00000001";
   val_one <= "00000001";
 
 
   -- ModuleWare code(v1.9) for instance 'U_2' of 'inv'
   -- ModuleWare code(v1.12) for instance 'U_2' of 'inv'
   sp_as_n_o_i <= NOT(sel_sp_as_i);
   sp_as_n_o_i <= not(sel_sp_as_i);
 
 
   -- ModuleWare code(v1.9) for instance 'U_8' of 'mux'
   -- ModuleWare code(v1.12) for instance 'U_8' of 'mux'
   u_8combo_proc: PROCESS(result_low_o_i, adr_low_i, sel_sp_in_i)
   u_8combo_proc: process(result_low_o_i, adr_low_i, sel_sp_in_i)
   BEGIN
   begin
      CASE sel_sp_in_i IS
      case sel_sp_in_i is
      WHEN '0' => result_low1_o_i <= result_low_o_i;
      when '0' => result_low1_o_i <= result_low_o_i;
      WHEN '1' => result_low1_o_i <= adr_low_i;
      when '1' => result_low1_o_i <= adr_low_i;
      WHEN OTHERS => result_low1_o_i <= (OTHERS => 'X');
      when others => result_low1_o_i <= (others => 'X');
      END CASE;
      end case;
   END PROCESS u_8combo_proc;
   end process u_8combo_proc;
 
 
   -- ModuleWare code(v1.9) for instance 'U_10' of 'tap'
   -- ModuleWare code(v1.12) for instance 'U_10' of 'tap'
   adr_sp_low_o_i <= adr_sp_o_internal(7 DOWNTO 0);
   adr_sp_low_o_i <= adr_sp_o_internal(7 downto 0);
 
 
   -- Instance port mappings.
   -- Instance port mappings.
 
 
   -- Implicit buffered output assignments
   -- Implicit buffered output assignments
   adr_sp_o <= adr_sp_o_internal;
   adr_sp_o <= adr_sp_o_internal;
 
 
END struct;
end struct;
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.