OpenCores
URL https://opencores.org/ocsvn/cpu6502_true_cycle/cpu6502_true_cycle/trunk

Subversion Repositories cpu6502_true_cycle

[/] [cpu6502_true_cycle/] [trunk/] [rtl/] [vhdl/] [regbank_axy.vhd] - Diff between revs 24 and 26

Show entire file | Details | Blame | View Log

Rev 24 Rev 26
Line 1... Line 1...
-- VHDL Entity R6502_TC.RegBank_AXY.symbol
-- VHDL Entity r6502_tc.regbank_axy.symbol
--
--
-- Created:
-- Created:
--          by - eda.UNKNOWN (ENTW1)
--          by - eda.UNKNOWN (ENTW-7HPZ200)
--          at - 18:39:49 08.02.2010
--          at - 11:41:39 11.09.2018
--
--
-- Generated by Mentor Graphics' HDL Designer(TM) 2009.1 (Build 12)
-- Generated by Mentor Graphics' HDL Designer(TM) 2016.2 (Build 5)
--
--
LIBRARY ieee;
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_arith.all;
USE ieee.std_logic_arith.all;
 
 
ENTITY RegBank_AXY IS
entity regbank_axy is
   PORT(
   port(
      clk_clk_i    : IN     std_logic;
      clk_clk_i    : in     std_logic;
      d_regs_in_i  : IN     std_logic_vector (7 DOWNTO 0);
      d_regs_in_i  : in     std_logic_vector (7 downto 0);
      load_regs_i  : IN     std_logic;
      load_regs_i  : in     std_logic;
      rst_rst_n_i  : IN     std_logic;
      rst_rst_n_i  : in     std_logic;
      sel_rb_in_i  : IN     std_logic_vector (1 DOWNTO 0);
      sel_rb_in_i  : in     std_logic_vector (1 downto 0);
      sel_rb_out_i : IN     std_logic_vector (1 DOWNTO 0);
      sel_rb_out_i : in     std_logic_vector (1 downto 0);
      sel_reg_i    : IN     std_logic_vector (1 DOWNTO 0);
      sel_reg_i    : in     std_logic_vector (1 downto 0);
      d_regs_out_o : OUT    std_logic_vector (7 DOWNTO 0);
      d_regs_out_o : out    std_logic_vector (7 downto 0);
      q_a_o        : OUT    std_logic_vector (7 DOWNTO 0);
      q_a_o        : out    std_logic_vector (7 downto 0);
      q_x_o        : OUT    std_logic_vector (7 DOWNTO 0);
      q_x_o        : out    std_logic_vector (7 downto 0);
      q_y_o        : OUT    std_logic_vector (7 DOWNTO 0)
      q_y_o        : out    std_logic_vector (7 downto 0)
   );
   );
 
 
-- Declarations
-- Declarations
 
 
END RegBank_AXY ;
end regbank_axy ;
 
 
-- Jens-D. Gutschmidt     Project:  R6502_TC  
-- (C) 2008 - 2018 Jens Gutschmidt
-- scantara2003@yahoo.de                      
-- (email: opencores@vivare-services.com)
-- COPYRIGHT (C) 2008-2010 by Jens Gutschmidt and OPENCORES.ORG                                                                                
 
--                                                                                                                                             
--                                                                                                                                             
-- This program is free software: you can redistribute it and/or modify it under the terms of the GNU General Public License as published by   
-- Versions:
-- the Free Software Foundation, either version 3 of the License, or any later version.                                                        
-- Revision 1.5  2013/07/24 11:11:00  jens
 
-- - Changing the title block and internal revision history
 
-- - Change mux 3-1 to mux 4-1 for vendors like Xilinx
--                                                                                                                                             
--                                                                                                                                             
-- This program is distributed in the hope that it will be useful, but WITHOUT ANY WARRANTY; without even the implied warranty of              
-- Revision 1.3  2009/01/04 10:20:50  eda
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License for more details.                                  
-- Changes for cosmetic issues only
--                                                                                                                                             
--                                                                                                                                             
-- You should have received a copy of the GNU General Public License along with this program.  If not, see <http://www.gnu.org/licenses/>.     
-- Revision 1.2  2009/01/04 09:23:12  eda
 
-- - Delete unused nets and blocks
 
-- - Rename blocks
 
-- - Re-arrage FSM symbols in block FSM_Execution_Unit
 
-- 
 
-- Revision 1.1  2009/01/03 16:36:48  eda
 
-- Production Release
--                                                                                                                                             
--                                                                                                                                             
-- CVS Revisins History                                                                                                                        
 
--                                                                                                                                             
--                                                                                                                                             
-- $Log: struct.bd,v $                                                                                                                         
 
--   <<-- more -->>                                                                                                                            
 
-- Title:  Register Bank for register A, X and Y  
 
-- Path:  R6502_TC/RegBank_AXY/struct  
 
-- Edited:  by eda on 08 Feb 2010  
 
--
--
-- VHDL Architecture R6502_TC.RegBank_AXY.struct
-- VHDL Architecture r6502_tc.regbank_axy.struct
--
--
-- Created:
-- Created:
--          by - eda.UNKNOWN (ENTW1)
--          by - eda.UNKNOWN (ENTW-7HPZ200)
--          at - 18:39:49 08.02.2010
--          at - 11:44:39 11.09.2018
 
--
 
-- Generated by Mentor Graphics' HDL Designer(TM) 2016.2 (Build 5)
 
--
 
-- COPYRIGHT (C) 2008 - 2018 by Jens Gutschmidt
 
-- 
 
-- This program is free software: you can redistribute it and/or modify it under the terms of the GNU General Public License as published by the Free Software Foundation, either version 3 of the License, or any later version.
 
-- 
 
-- This program is distributed in the hope that it will be useful, but WITHOUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License for more details.
 
-- 
 
-- You should have received a copy of the GNU General Public License along with this program.  If not, see <http://www.gnu.org/licenses/>.
--
--
-- Generated by Mentor Graphics' HDL Designer(TM) 2009.1 (Build 12)
 
--
--
LIBRARY ieee;
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_arith.all;
USE ieee.std_logic_arith.all;
 
 
 
 
ARCHITECTURE struct OF RegBank_AXY IS
architecture struct of regbank_axy is
 
 
   -- Architecture declarations
   -- Architecture declarations
 
 
   -- Internal signal declarations
   -- Internal signal declarations
   SIGNAL ld        : std_logic_vector(2 DOWNTO 0);
   signal ld        : std_logic_vector(2 downto 0);
   SIGNAL load1_o_i : std_logic;
   signal load1_o_i : std_logic;
   SIGNAL load2_o_i : std_logic;
   signal load2_o_i : std_logic;
   SIGNAL load_o_i  : std_logic;
   signal load_o_i  : std_logic;
   SIGNAL q_mux_o_i : std_logic_vector(7 DOWNTO 0);
   signal q_mux_o_i : std_logic_vector(7 downto 0);
   SIGNAL val_zero  : std_logic_vector(7 DOWNTO 0);
   signal val_zero  : std_logic_vector(7 downto 0);
 
 
   -- Implicit buffer signal declarations
   -- Implicit buffer signal declarations
   SIGNAL q_a_o_internal : std_logic_vector (7 DOWNTO 0);
   signal q_a_o_internal : std_logic_vector (7 downto 0);
   SIGNAL q_x_o_internal : std_logic_vector (7 DOWNTO 0);
   signal q_x_o_internal : std_logic_vector (7 downto 0);
   SIGNAL q_y_o_internal : std_logic_vector (7 DOWNTO 0);
   signal q_y_o_internal : std_logic_vector (7 downto 0);
 
 
 
 
   -- ModuleWare signal declarations(v1.9) for instance 'U_0' of 'adff'
   -- ModuleWare signal declarations(v1.12) for instance 'U_0' of 'adff'
   SIGNAL mw_U_0reg_cval : std_logic_vector(7 DOWNTO 0);
   signal mw_U_0reg_cval : std_logic_vector(7 downto 0);
 
 
   -- ModuleWare signal declarations(v1.9) for instance 'U_4' of 'adff'
   -- ModuleWare signal declarations(v1.12) for instance 'U_4' of 'adff'
   SIGNAL mw_U_4reg_cval : std_logic_vector(7 DOWNTO 0);
   signal mw_U_4reg_cval : std_logic_vector(7 downto 0);
 
 
   -- ModuleWare signal declarations(v1.9) for instance 'U_5' of 'adff'
   -- ModuleWare signal declarations(v1.12) for instance 'U_5' of 'adff'
   SIGNAL mw_U_5reg_cval : std_logic_vector(7 DOWNTO 0);
   signal mw_U_5reg_cval : std_logic_vector(7 downto 0);
 
 
 
 
BEGIN
begin
 
 
   -- ModuleWare code(v1.9) for instance 'U_0' of 'adff'
   -- ModuleWare code(v1.12) for instance 'U_0' of 'adff'
   q_a_o_internal <= mw_U_0reg_cval;
   q_a_o_internal <= mw_U_0reg_cval;
   u_0seq_proc: PROCESS (clk_clk_i, rst_rst_n_i)
   u_0seq_proc: process (clk_clk_i, rst_rst_n_i)
   BEGIN
   begin
      IF (rst_rst_n_i = '0') THEN
      if (rst_rst_n_i = '0') then
         mw_U_0reg_cval <= "00000000";
         mw_U_0reg_cval <= "00000000";
      ELSIF (clk_clk_i'EVENT AND clk_clk_i='1') THEN
      elsif (clk_clk_i'event and clk_clk_i='1') then
         IF (load_o_i = '1') THEN
         if (load_o_i = '1') then
            mw_U_0reg_cval <= q_mux_o_i;
            mw_U_0reg_cval <= q_mux_o_i;
         END IF;
         end if;
      END IF;
      end if;
   END PROCESS u_0seq_proc;
   end process u_0seq_proc;
 
 
   -- ModuleWare code(v1.9) for instance 'U_4' of 'adff'
   -- ModuleWare code(v1.12) for instance 'U_4' of 'adff'
   q_x_o_internal <= mw_U_4reg_cval;
   q_x_o_internal <= mw_U_4reg_cval;
   u_4seq_proc: PROCESS (clk_clk_i, rst_rst_n_i)
   u_4seq_proc: process (clk_clk_i, rst_rst_n_i)
   BEGIN
   begin
      IF (rst_rst_n_i = '0') THEN
      if (rst_rst_n_i = '0') then
         mw_U_4reg_cval <= "00000000";
         mw_U_4reg_cval <= "00000000";
      ELSIF (clk_clk_i'EVENT AND clk_clk_i='1') THEN
      elsif (clk_clk_i'event and clk_clk_i='1') then
         IF (load1_o_i = '1') THEN
         if (load1_o_i = '1') then
            mw_U_4reg_cval <= q_mux_o_i;
            mw_U_4reg_cval <= q_mux_o_i;
         END IF;
         end if;
      END IF;
      end if;
   END PROCESS u_4seq_proc;
   end process u_4seq_proc;
 
 
   -- ModuleWare code(v1.9) for instance 'U_5' of 'adff'
   -- ModuleWare code(v1.12) for instance 'U_5' of 'adff'
   q_y_o_internal <= mw_U_5reg_cval;
   q_y_o_internal <= mw_U_5reg_cval;
   u_5seq_proc: PROCESS (clk_clk_i, rst_rst_n_i)
   u_5seq_proc: process (clk_clk_i, rst_rst_n_i)
   BEGIN
   begin
      IF (rst_rst_n_i = '0') THEN
      if (rst_rst_n_i = '0') then
         mw_U_5reg_cval <= "00000000";
         mw_U_5reg_cval <= "00000000";
      ELSIF (clk_clk_i'EVENT AND clk_clk_i='1') THEN
      elsif (clk_clk_i'event and clk_clk_i='1') then
         IF (load2_o_i = '1') THEN
         if (load2_o_i = '1') then
            mw_U_5reg_cval <= q_mux_o_i;
            mw_U_5reg_cval <= q_mux_o_i;
         END IF;
         end if;
      END IF;
      end if;
   END PROCESS u_5seq_proc;
   end process u_5seq_proc;
 
 
   -- ModuleWare code(v1.9) for instance 'U_6' of 'and'
   -- ModuleWare code(v1.12) for instance 'U_6' of 'and'
   load_o_i <= load_regs_i AND ld(0);
   load_o_i <= load_regs_i and ld(0);
 
 
   -- ModuleWare code(v1.9) for instance 'U_7' of 'and'
   -- ModuleWare code(v1.12) for instance 'U_7' of 'and'
   load1_o_i <= load_regs_i AND ld(1);
   load1_o_i <= load_regs_i and ld(1);
 
 
   -- ModuleWare code(v1.9) for instance 'U_8' of 'and'
   -- ModuleWare code(v1.12) for instance 'U_8' of 'and'
   load2_o_i <= load_regs_i AND ld(2);
   load2_o_i <= load_regs_i and ld(2);
 
 
   -- ModuleWare code(v1.9) for instance 'U_11' of 'constval'
   -- ModuleWare code(v1.12) for instance 'U_2' of 'constval'
   val_zero <= "00000000";
   val_zero <= "00000000";
 
 
   -- ModuleWare code(v1.9) for instance 'U_1' of 'decoder1'
   -- ModuleWare code(v1.12) for instance 'U_1' of 'decoder1'
   u_1combo_proc: PROCESS (sel_reg_i)
   u_1combo_proc: process (sel_reg_i)
   BEGIN
   begin
      ld <= (OTHERS => '0');
      ld <= (others => '0');
      CASE sel_reg_i IS
      case sel_reg_i is
         WHEN "00" => ld(0) <= '1';
         when "00" => ld(0) <= '1';
         WHEN "01" => ld(1) <= '1';
         when "01" => ld(1) <= '1';
         WHEN "10" => ld(2) <= '1';
         when "10" => ld(2) <= '1';
         WHEN OTHERS => ld <= (OTHERS => '0');
         when others => ld <= (others => '0');
      END CASE;
      end case;
   END PROCESS u_1combo_proc;
   end process u_1combo_proc;
 
 
   -- ModuleWare code(v1.9) for instance 'U_2' of 'mux'
 
   u_2combo_proc: PROCESS(q_a_o_internal, q_x_o_internal, q_y_o_internal,
 
                          val_zero, sel_rb_out_i)
 
   BEGIN
 
      CASE sel_rb_out_i IS
 
      WHEN "00" => d_regs_out_o <= q_a_o_internal;
 
      WHEN "01" => d_regs_out_o <= q_x_o_internal;
 
      WHEN "10" => d_regs_out_o <= q_y_o_internal;
 
      WHEN "11" => d_regs_out_o <= val_zero;
 
      WHEN OTHERS => d_regs_out_o <= (OTHERS => 'X');
 
      END CASE;
 
   END PROCESS u_2combo_proc;
 
 
 
   -- ModuleWare code(v1.9) for instance 'U_3' of 'mux'
   -- ModuleWare code(v1.12) for instance 'U_3' of 'mux'
   u_3combo_proc: PROCESS(q_a_o_internal, q_y_o_internal, q_x_o_internal,
   u_3combo_proc: process(q_a_o_internal, q_y_o_internal, q_x_o_internal,
                          d_regs_in_i, sel_rb_in_i)
                          d_regs_in_i, sel_rb_in_i)
   BEGIN
   begin
      CASE sel_rb_in_i IS
      case sel_rb_in_i is
      WHEN "00" => q_mux_o_i <= q_a_o_internal;
      when "00" => q_mux_o_i <= q_a_o_internal;
      WHEN "01" => q_mux_o_i <= q_y_o_internal;
      when "01" => q_mux_o_i <= q_y_o_internal;
      WHEN "10" => q_mux_o_i <= q_x_o_internal;
      when "10" => q_mux_o_i <= q_x_o_internal;
      WHEN "11" => q_mux_o_i <= d_regs_in_i;
      when "11" => q_mux_o_i <= d_regs_in_i;
      WHEN OTHERS => q_mux_o_i <= (OTHERS => 'X');
      when others => q_mux_o_i <= (others => 'X');
      END CASE;
      end case;
   END PROCESS u_3combo_proc;
   end process u_3combo_proc;
 
 
 
   -- ModuleWare code(v1.12) for instance 'U_9' of 'mux'
 
   u_9combo_proc: process(q_a_o_internal, q_x_o_internal, q_y_o_internal,
 
                          val_zero, sel_rb_out_i)
 
   begin
 
      case sel_rb_out_i is
 
      when "00" => d_regs_out_o <= q_a_o_internal;
 
      when "01" => d_regs_out_o <= q_x_o_internal;
 
      when "10" => d_regs_out_o <= q_y_o_internal;
 
      when "11" => d_regs_out_o <= val_zero;
 
      when others => d_regs_out_o <= (others => 'X');
 
      end case;
 
   end process u_9combo_proc;
 
 
   -- Instance port mappings.
   -- Instance port mappings.
 
 
   -- Implicit buffered output assignments
   -- Implicit buffered output assignments
   q_a_o <= q_a_o_internal;
   q_a_o <= q_a_o_internal;
   q_x_o <= q_x_o_internal;
   q_x_o <= q_x_o_internal;
   q_y_o <= q_y_o_internal;
   q_y_o <= q_y_o_internal;
 
 
END struct;
end struct;
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.