OpenCores
URL https://opencores.org/ocsvn/ddr3_sdram/ddr3_sdram/trunk

Subversion Repositories ddr3_sdram

[/] [ddr3_sdram/] [trunk/] [system.ucf] - Diff between revs 2 and 3

Show entire file | Details | Blame | View Log

Rev 2 Rev 3
Line 1... Line 1...
# For SiOI FG484 Spartan 6 board
# For SiOI FS6484 Spartan 6 board
# Copyright 2012 SiOI
# Copyright 2012 SiOI
 
 
CONFIG VCCAUX=3.3;
CONFIG VCCAUX=3.3;
 
 
Net mck62M5 TNM_NET = sys_clk_pin;
Net mck62M5 TNM_NET = sys_clk_pin;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.