OpenCores
URL https://opencores.org/ocsvn/epc_rfid_transponder/epc_rfid_transponder/trunk

Subversion Repositories epc_rfid_transponder

[/] [epc_rfid_transponder/] [trunk/] [pseudoRNG.vhd] - Diff between revs 2 and 3

Show entire file | Details | Blame | View Log

Rev 2 Rev 3
Line 11... Line 11...
--     Description    : Peudo-random number generator based on 31-bit LFSR.
--     Description    : Peudo-random number generator based on 31-bit LFSR.
--                      LFSR primitive polynomial: 1 + X^28 + X^31
--                      LFSR primitive polynomial: 1 + X^28 + X^31
--                      Better performance may be reached using a leap-forward
--                      Better performance may be reached using a leap-forward
--                      LFSR implementation...!!!
--                      LFSR implementation...!!!
--     
--     
--     Authors        : Erwing R. Sanchez <erwing.sanchezsanchez@polito.it>
--     Authors        : Erwing R. Sanchez <erwing.sanchez@polito.it>
--
 
--     Rev. History   : 17 july 06 - First Draft 
 
--                                 
--                                 
-------------------------------------------------------------------------------            
-------------------------------------------------------------------------------            
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
 
 
library IEEE;
library IEEE;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.