OpenCores
URL https://opencores.org/ocsvn/epc_rfid_transponder/epc_rfid_transponder/trunk

Subversion Repositories epc_rfid_transponder

[/] [epc_rfid_transponder/] [trunk/] [shiftreg.vhd] - Diff between revs 2 and 3

Show entire file | Details | Blame | View Log

Rev 2 Rev 3
Line 8... Line 8...
--
--
--     File name      : shiftreg.vhd 
--     File name      : shiftreg.vhd 
--
--
--     Description    : Simple Shift Register    
--     Description    : Simple Shift Register    
--
--
--     Authors        : Erwing R. Sanchez <erwing.sanchezsanchez@polito.it>
--     Authors        : Erwing R. Sanchez <erwing.sanchez@polito.it>
--
 
--     Rev. History   : 30 June 06 
 
--                                 
--                                 
-------------------------------------------------------------------------------            
-------------------------------------------------------------------------------            
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
 
 
library IEEE;
library IEEE;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.