OpenCores
URL https://opencores.org/ocsvn/ethmac/ethmac/trunk

Subversion Repositories ethmac

[/] [ethmac/] [branches/] [unneback/] [scripts/] [Makefile] - Diff between revs 348 and 361

Go to most recent revision | Only display areas with differences | Details | Blame | View Log

Rev 348 Rev 361
ifeq ($(VCD), 1)
ifeq ($(VCD), 1)
ICARUS_OPTIONS += -DVCD
ICARUS_OPTIONS += -DVCD
endif
endif
rtl-tests:
rtl-tests:
        mkdir -p ../build/sim
        mkdir -p ../build/sim
        mkdir -p ../log
        mkdir -p ../log
        iverilog -stb_ethernet -cicarus.scr $(ICARUS_OPTIONS) -o ../build/sim/ethmac.elf
        iverilog -stb_ethernet -cicarus.scr $(ICARUS_OPTIONS) -o ../build/sim/ethmac.elf
        vvp ../build/sim/ethmac.elf
        vvp ../build/sim/ethmac.elf
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.