OpenCores
URL https://opencores.org/ocsvn/ethmac/ethmac/trunk

Subversion Repositories ethmac

[/] [ethmac/] [tags/] [rel_11/] [bench/] [verilog/] [tb_ethernet.v] - Diff between revs 117 and 121

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 117 Rev 121
Line 39... Line 39...
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
//
//
// CVS Revision History
// CVS Revision History
//
//
// $Log: not supported by cvs2svn $
// $Log: not supported by cvs2svn $
 
// Revision 1.2  2002/07/19 14:02:47  mohor
 
// Clock mrx_clk set to 2.5 MHz.
 
//
// Revision 1.1  2002/07/19 13:57:53  mohor
// Revision 1.1  2002/07/19 13:57:53  mohor
// Testing environment also includes traffic cop, memory interface and host
// Testing environment also includes traffic cop, memory interface and host
// interface.
// interface.
//
//
//
//
Line 86... Line 89...
integer tx_log;
integer tx_log;
integer rx_log;
integer rx_log;
 
 
reg StartTB;
reg StartTB;
 
 
 
`ifdef ETH_XILINX_RAMB4
 
  reg gsr;
 
`endif
 
 
 
 
integer packet_ready_cnt, send_packet_cnt;
integer packet_ready_cnt, send_packet_cnt;
 
 
 
 
// Ethernet Slave Interface signals
// Ethernet Slave Interface signals
wire [31:0] eth_sl_wb_adr_i, eth_sl_wb_dat_o, eth_sl_wb_dat_i;
wire [31:0] eth_sl_wb_adr_i, eth_sl_wb_dat_o, eth_sl_wb_dat_i;
Line 213... Line 221...
  packet_ready_cnt = 0;
  packet_ready_cnt = 0;
  send_packet_cnt = 0;
  send_packet_cnt = 0;
  tx_log = $fopen("ethernet_tx.log");
  tx_log = $fopen("ethernet_tx.log");
  rx_log = $fopen("ethernet_rx.log");
  rx_log = $fopen("ethernet_rx.log");
  wb_rst_o =  1'b1;
  wb_rst_o =  1'b1;
 
`ifdef ETH_XILINX_RAMB4
 
  gsr           =  1'b0;
 
  #100 gsr      =  1'b1;
 
  #100 gsr      =  1'b0;
 
`endif
  #100 wb_rst_o =  1'b0;
  #100 wb_rst_o =  1'b0;
  #100 StartTB  =  1'b1;
  #100 StartTB  =  1'b1;
end
end
 
 
 
`ifdef ETH_XILINX_RAMB4
 
  assign glbl.GSR = gsr;
 
`endif
 
 
 
 
 
 
// Generating wb_clk_o clock
// Generating wb_clk_o clock
initial
initial
begin
begin

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.