OpenCores
URL https://opencores.org/ocsvn/ethmac/ethmac/trunk

Subversion Repositories ethmac

[/] [ethmac/] [tags/] [rel_25/] [doc/] [src/] [eth_design_document.doc] - Diff between revs 335 and 338

Only display areas with differences | Details | Blame | View Log

Rev 335 Rev 338
ࡱ>  B7 $_bjbjUU   7|7|-.ltttj!~e~e~evfZg,j!h4nXoo4oqvFx'))))))$` Mt
ࡱ>  B7 $_bjbjUU   7|7|-.ltttj!~e~e~evfZg,j!h4nXoo4oqvFx'))))))$` Mt
yq"q
yq"q
y
y
yMo4ob:
yMo4ob:
yToR4o'
yToR4o'
y'Ș
V=RR"34ohP;yj!D~e^:3<>L>3









Ethernet IP CoreDesign Document


Author: Igor Mohor
IgorM@opencores.org



Rev. 0.4
 DATE \@ "MMMM d, yyyy" \* MERGEFORMAT October 29, 2002














This page has been intentionally left blank.
Revision History
Rev.DateAuthorDescription0.109/09/02Igor MohorFirst Draft0.222/10/02Igor MohorDescription of Core Modules added (figure), Some test description added.0.329/10/02Igor MohorSome figures added.0.429/10/02IMDescription of test cases added. 
List of Contents
 TOC \o "1-3" \h \z  HYPERLINK \l "_Toc23656986" 1        PAGEREF _Toc23656986 \h 1
 HYPERLINK \l "_Toc23656987" Introduction     PAGEREF _Toc23656987 \h 1
 HYPERLINK \l "_Toc23656988" 1.1 Ethernet IP Core Introduction        PAGEREF _Toc23656988 \h 1
 HYPERLINK \l "_Toc23656989" 1.2 Ethernet IP Core Features    PAGEREF _Toc23656989 \h 1
 HYPERLINK \l "_Toc23656990" 1.3 Ethernet IP Core Directory Structure         PAGEREF _Toc23656990 \h 3
 HYPERLINK \l "_Toc23656991" 2        PAGEREF _Toc23656991 \h 5
 HYPERLINK \l "_Toc23656992" Ethernet MAC IP Core     PAGEREF _Toc23656992 \h 5
 HYPERLINK \l "_Toc23656993" 2.1     Overview         PAGEREF _Toc23656993 \h 5
 HYPERLINK \l "_Toc23656994" 2.1.1 WISHBONE Interface         PAGEREF _Toc23656994 \h 5
 HYPERLINK \l "_Toc23656995" 2.1.2 Transmit Module    PAGEREF _Toc23656995 \h 5
 HYPERLINK \l "_Toc23656996" 2.1.3 Receive Module     PAGEREF _Toc23656996 \h 6
 HYPERLINK \l "_Toc23656997" 2.1.4 Control Module     PAGEREF _Toc23656997 \h 6
 HYPERLINK \l "_Toc23656998" 2.1.5 MII Module (Media Independent Module)      PAGEREF _Toc23656998 \h 6
 HYPERLINK \l "_Toc23656999" 2.1.6 Status Module      PAGEREF _Toc23656999 \h 6
 HYPERLINK \l "_Toc23657000" 2.1.7 Register Module    PAGEREF _Toc23657000 \h 6
 HYPERLINK \l "_Toc23657001" 2.2     Core File Hierarchy      PAGEREF _Toc23657001 \h 6
 HYPERLINK \l "_Toc23657002" 2.3     Description of Core Modules      PAGEREF _Toc23657002 \h 8
 HYPERLINK \l "_Toc23657003" 2.3.1 Description of the MII module (eth_miim.v)         PAGEREF _Toc23657003 \h 10
 HYPERLINK \l "_Toc23657004" 2.3.2 Description of the Receive module (eth_rxethmac.v)        PAGEREF _Toc23657004 \h 12
 HYPERLINK \l "_Toc23657005" 2.3.3 Description of the Transmit module (eth_txethmac.v)       PAGEREF _Toc23657005 \h 17
 HYPERLINK \l "_Toc23657006" 2.3.4 Description of the Control module (eth_maccontrol.v)      PAGEREF _Toc23657006 \h 22
 HYPERLINK \l "_Toc23657007" 2.3.5 Description of the Status module (eth_macstatus.v)        PAGEREF _Toc23657007 \h 24
 HYPERLINK \l "_Toc23657008" 2.3.6 Description of the Registers module (eth_registers.v)     PAGEREF _Toc23657008 \h 27
 HYPERLINK \l "_Toc23657009" 2.3.7 Description of the WISHBONE interface module (eth_wishbone.v)     PAGEREF _Toc23657009 \h 28
 HYPERLINK \l "_Toc23657010" 3       PAGEREF _Toc23657010 \h 34
 HYPERLINK \l "_Toc23657011" Ethernet MAC IP Core Testbench  PAGEREF _Toc23657011 \h 34
 HYPERLINK \l "_Toc23657012" 3.1    Overview         PAGEREF _Toc23657012 \h 34
 HYPERLINK \l "_Toc23657013" 3.2    Testbench File Hierarchy         PAGEREF _Toc23657013 \h 34
 HYPERLINK \l "_Toc23657014" 3.2.1 Testbench Module Hierarchy        PAGEREF _Toc23657014 \h 35
 HYPERLINK \l "_Toc23657015" 3.3 Description of Testbench Modules    PAGEREF _Toc23657015 \h 36
 HYPERLINK \l "_Toc23657016" 3.3.1 Description of Ethernet PHY module        PAGEREF _Toc23657016 \h 37
 HYPERLINK \l "_Toc23657017" 3.3.2 Description of WB submodules      PAGEREF _Toc23657017 \h 37
 HYPERLINK \l "_Toc23657018" 3.4 Description of Testcases    PAGEREF _Toc23657018 \h 38
 HYPERLINK \l "_Toc23657019" 3.4.1 Description of MAC Registers and BD Tests         PAGEREF _Toc23657019 \h 39
 HYPERLINK \l "_Toc23657020" 3.4.2 Description of MIIM Module Tests  PAGEREF _Toc23657020 \h 39

List of Tables
List of Figures



 TOC \h \z \c "Figure"  HYPERLINK \l "_Toc23670665" Figure 1: Ethernet IP Core Core Directory Structure      PAGEREF _Toc23670665 \h 3
 HYPERLINK \l "_Toc23670666" Figure 2: Core Modules   PAGEREF _Toc23670666 \h 9
 HYPERLINK \l "_Toc23670667" Figure 3: Multiplexing Data and Control Signals in Control Module        PAGEREF _Toc23670667 \h 23
 HYPERLINK \l "_Toc23670668" Figure 4: Test Bench Module Hierarchy   PAGEREF _Toc23670668 \h 36

Introduction
1.1 Ethernet IP Core Introduction
The Ethernet IP Core is a MAC (Media Access Controller). It connects to the Ethernet PHY chip on one side and to the WISHBONE SoC bus on the other. The core has been designed to offer as much flexibility as possible to all kinds of applications. 
The chapter 2 describes file hierarchy, description of modules, core design considerations and constants regarding the Ethernet IP Core. 
The chapter 3 describes test bench file hierarchy, description of modules, test bench design considerations, description of test cases and constants regarding the test bench.

1.2 Ethernet IP Core Features
The following lists the main features of the Ethernet IP core. 
Performing MAC layer functions of IEEE 802.3 and Ethernet
Automatic 32-bit CRC generation and checking
Delayed CRC generation
Preamble generation and removal
Automatically pad short frames on transmit
Detection of too long or too short packets (length limits)
Possible transmission of packets that are bigger than standard packets.
Full duplex support
10 and 100 Mbps bit rates supported
Automatic packet abortion on Excessive deferral limit, too small inter packet gap, when enabled
Flow control and automatic generation of control frames in full duplex mode (IEEE 802.3x)
Collision detection and auto retransmission on collisions in half duplex mode (CSMA/CD protocol)
Complete status for TX/RX packets
IEEE 802.3 Media Independent Interface (MII)
WISHBONE SoC Interconnection Rev. B2 and B3 compliant interface
Internal RAM for holding 128 TX/RX buffer descriptors
Interrupt generation an all events


1.3 Ethernet IP Core Directory Structure
Following picture shows the structure of directories of the Ethernet IP core. 

Figure  SEQ Figure \* ARABIC 1: Ethernet IP Core Core Directory Structure
There are two major parts of the Verilog code in the ethernet directory. First one is the code for the Ethernet MAC IP core. The Verilog files are in the ethernet\rtl\verilog subdirectory. The second one is the code for the Ethernet MAC Testbench. These files are used together with files for the Ethernet MAC. There are also some exceptions, but those will be mentioned later. The Verilog files are in the ethernet\bench\verilog subdirectory. 

The documentation is in the subdirectory ethernet\doc. Documentation consists of Ethernet IP Core Data Sheet, Ethernet IP Core Specification and Ethernet IP Core Design document.

ethernet\sim subdirectory is used for running simulation  testbench. The rtl_sim subdirectory is used for RTL ( functional ) simulation of the core. There are two sets of scripts for running the simulation. First set is used for running the testbench using NCSim simulator. Second set is used for running the testbench using ModelSIM simulator. Both are using the similar directory structure:

bin  includes various scripts needed for running Ncsim simulator
run  the directory from which the simulation is run. It provides a script for starting the simulation and a script for cleaning all the results produced by previous simulation runs
log  Ncvlog, Ncelab and Ncsim log files are stored here for review.  
out  simulation output directory  simulation stores all the results into this directory ( dump files for viewing with Signalscan, testbench text output etc. )


Generated files from synthesis tools, like gate level Verilog and log files, are stored in the ethernet\syn subdirectory and its subdirectories.





Ethernet MAC IP Core
2.1        Overview
The Ethernet MAC IP Core consists of seven main units: WISHBONE interface, transmit module, receive module, control module, MII module, status module and register module. Many of these modules have sub-modules. Module and sub-module operations are described later in this section.

2.1.1 WISHBONE Interface 
Consists of both master and slave interfaces and connects the core to the WISHBONE bus. Master interface is used for storing the received data frames to the memory and loading the data that needs to be sent from the memory to the Ethernet core. Interface is WISHBONE Revision B.2 and B.3 compatible (selectable with a define ETH_WISHBONE_B3 in the eth_defines.v file). 

2.1.2 Transmit Module
Performs all transmitting related operations (preamble generation, padding, CRC, etc.).

2.1.3 Receive Module
Performs all reception related operations (preamble removal, CRC check, etc).

2.1.4 Control Module
Performs all flow control related operations when Ethernet is used in full duplex mode.

2.1.5 MII Module (Media Independent Module)
Provides a Media independent interface to the external Ethernet PHY chip. 

2.1.6 Status Module
Records different statuses that are written to the related buffer descriptors or used in some other modules.

2.1.7 Register Module
Registers that are used for Ethernet MAC operation are in this module. 

2.2  Core File Hierarchy
The hierarchy of modules in the Ethernet core is shown here with file tree. Each file implements one module in a hierarchy. RTL source files of the Ethernet core are in the ethernet\rtl\verilog subdirectory. 


ethernet
.       sim
.       .       rtl_sim
.       .       .       src
.       .       .       run
.       rtl
.       .       verilog
.       .       .       eth_top.v
.       .       .       eth_crc.v
.       .       .       eth_cop.v
.       .       .       eth_miim.v
.       .       .       eth_defines.v
.       .       .       timescale.v
.       .       .       eth_random.v
.       .       .       eth_fifo.v
.       .       .       eth_wishbone.v
.       .       .       eth_maccontrol.v
.       .       .       eth_rxaddrcheck.v
.       .       .       eth_txstatem.v
.       .       .       eth_transmitcontrol.v
.       .       .       eth_txethmac.v
.       .       .       generic_spram.v
.       .       .       eth_rxcounters.v
.       .       .       eth_rxstatem.v
.       .       .       eth_outputcontrol.v
.       .       .       eth_register.v
.       .       .       eth_receivecontrol.v
.       .       .       eth_registers.v
.       .       .       eth_shiftreg.v
.       .       .       eth_txcounters.v
.       .       .       eth_clockgen.v
.       .       .       eth_rxethmac.v
.       .       .       eth_macstatus.v
.       doc
.       .       eth_speci.pdf
.       .       eth_design_document.pdf
.       .       Ethernet Datasheet (prl.).pdf
.       .       src
.       .       .       eth_speci.doc
.       .       .       eth_design_document.doc
.       .       .       Ethernet Datasheet (prl.).doc
.       bench
.       .       verilog
.       .       .       tb_ethernet.v
.       .       .       tb_eth_defines.v
.       .       .       tb_cop.v
.       .       .       eth_host.v
.       .       .       eth_memory.v



2.3     Description of Core Modules

The module eth_top.v consists of sub modules eth_miim.v, eth_registers.v, eth_maccontrol.v, eth_txethmac.v, eth_rxethmac.v, eth_wishbone.v, eth_macstatus.v and some logic for synchronizing, multiplexing and registering outputs. 
 EMBED Visio.Drawing.5  
Figure  SEQ Figure \* ARABIC 2: Core Modules
2.3.1 Description of the MII module (eth_miim.v)               

The MII module (Media Independent Interface) is an interface to the external Ethernet PHY chip. It is used for setting PHYs configuration registers and reading status from it. The interface consists of only two signals: clock (MDC) and bi-directional data signal (MDIO). Bi-directional MDIO signal needs to be combined from input signal Mdi, output signal Mdo, and enable signal MdoEn in additional module. This is done because the same Ethernet core will be implemented in both ASIC and FPGA. 

The MII module is the top module for the MII and consists of several sub modules (eth_clockgen.v, eth_shiftreg.v, eth_outputcontrol.v) and additional logic. This logic is used for generating number of signals:
Synchronized request for write (WriteDataOp), read (ReadStatusOp) and scan (ScanStatusOp) operations. 
Signal for updating the MIIRX_DATA register (UpdateMIIRX_DATAReg)
Counter (BitCounter) is the primary counter for the MII Interface (many operations depend on it.
Byte select signals used when data is shifted out (ByteSelect [3:0]).
Signals used for latching the input data (LatchByte [1:0]).


When there is a need to read or write the data from the PHY chip, several operations need to be performed: 
MIIMODER register needs to be set:
Clock divider needs to be set to provide clock signal Mdc of the appropriate frequency (read PHY documentation to obtain the value of the Mdc frequency)
Preamble generation might be disabled (if PHY supports transmissions without the preamble). By default 32-bit preamble is transmitted.
MII Module might be reset prior to its usage.
PHY Address (several PHY chips might be connected to the MII interface) and address of the register within the selected PHY chip need to be set in the MIIADDRESS register. 
If there is a need to write data to the selected register, data needs to be written to the MIITX_DATA register.
Writing appropriate value to the MIICOMMAND register starts requested operation. 
If Read status or Scan status operation were requested than the value that was received from the PHY can be read from the MIIRX_DATA register.

MIISTATUS register reflects the status of the MII module. The LinkFail status is cleared only after the read to the PHYs status register (address 0x1) returns status that is OK. 



2.3.2.1 Description of the eth_outputcontrol module

This module performs two tasks: 
Generates MII serial output signal (Mdo)
Generates enable signal (MdoEn) for the Mdo. 

Since the MII serial data signal is a bi-directional signal, these two signals need to be combined together with the MII serial input signal (Mdi) in additional module that is not part of the Ethernet MAC IP Core.  

The eth_outputcontrol module also generates the MII preamble. When MII preamble is enabled (bit 8 in the MIIMODER register set to 0), 32-bit preamble is transmitted prior to the data. 


2.3.2.2 Description of the eth_clockgen module

The eth_clockgen module is used for: 
Generating MII clock signal (Mdc). This is output clock signal used for clocking the MII interface of the Ethernet PHY chip. You should read the specification for the used PHY chip to properly set the Mdc frequency (usually frequencies up to 10 MHz can be used)
Generating MdcEn signal. This signal is an enable signal. All flip-flops used in the MII are clocked with the high frequency clock Clk. The reduced frequency (equal to Mdc) is obtained by using the MdcEn signal. 

Mdc is obtained by dividing the Clk signal with the value that is written in the MIIMODER register (any value within range [1:255]).


2.3.2.3 Description of the eth_shiftreg module

The eth_shiftreg module is used for: 
Serialize the data that goes towards Ethernet PHY chip (Mdo)
Parallelize input data that comes from Ethernet PHY chip (Mdi) and temporally store it to the Prsd register. This value is then stored to the MIIRX_DATA register. 
Generating LinkFail signal (bit 0 of the MIISTATUS register reflects its value).



2.3.2 Description of the Receive module (eth_rxethmac.v)

The Receive module is in charge for receiving data. External PHY chip receives serial data from the physical layer (cable), assembles it to nibbles and sends to the receive module (MRxD [3:0]) together with the data valid marker (MRxDV). The receive module then assembles this data nibbles to data bytes, and sends them to the WISHBONE interface module together with few signals that mark start and end of the data. Receive module also removes the preamble and the CRC.

The Receive module consists of four sub modules:
eth_crc  Cyclic Redundancy Check (CRC) module
eth_rxaddrcheck  Address recognition module
eth_rxcounters  Various counters needed for packet reception
eth_rxstatem  State machine for Receive module

Besides the above sub modules, eth_rxethmac module also consists of logic that is used for:
Generating CrcHash value and CrcHashGood marker that are used in address recognition system.
Latching the data that is received from the PHY chip (RxData).
Generating Broadcast and Multicast marker (when packets with broadcast or multicast destination address are received).
Generating RxValid, RxStartFrm, RxEndFrm signals that are marking valid data. 

Receiver can operate in various modes. For that reason number of registers need to be configured prior to Receivers use. 

Signals related to the receiver operation are:
HugEn  Reception of big packets is enabled (packets, bigger than the standard Ethernet packets). When HugEn is disabled, packets that smaller or equal to MaxFL and bigger or equal to MinFL are received. (MaxFL and MinFL are set in the PACKETLEN register).
DlyCrcEn  Delayed CRC (Cyclic Redundancy Check) is enabled. CRC checking starts 4 bytes after the data becomes valid. This option is useful when additional data is added to the data frame.  
r_IFG  Minimum Inter Frame Gap Enable. When this signal is set to zero, minimum inter frame gap is required between two packets. After this time receiver starts with reception again. When r_IFG is set to 1, no inter packet gap is needed. All frames are received regardless to the IFG. 
r_Pro, r_Bro, r_Iam and registers MAC, HASH0 and HASH1 are used for address recognition. 


2.3.2.1 Description of the CRC (Cyclic Redundancy Check) module (eth_crc.v) 

This module is used for validating the correctness of the incoming packet by checking the CRC value of the packet. CRC module is also used for the CRC generation for the TX module.

To better understand the CRC checking, here is a brief description how CRC is send and checked. 
Before a transmitter sends the data, it appends the CRC (this CRC is calculated from the data) to it. This means that the packet is now bigger for 4 bytes. Receiver receives this data (that also includes the CRC of the data) and calculates a new CRC value from it (received CRC is also used for the CRC calculation). If the new CRC differs from the CRC Magic Number (0xc704dd7b), then received data differs from the sent data and CrcError signal is set. 


2.3.2.2 Description of the address recognition module (eth_rxaddrcheck.v) 

The address recognition module decides whether the packet will be received or not. Ethernet IP core starts receiving all packets regardless to their destination address. Destination address is then checked in the eth_rxaddrcheck sub module. Frame reception depends on few conditions: 
If r_Pro bit is set in the MODER register (Promiscuous mode), then all frames are received regardless to their destination address. If r_Pro bit is cleared then destination address is checked.
If r_Bro bit is set in the MODER register then all frames containing broadcast addresses are rejected (r_Pro must be cleared).
MAC  MAC address of the used Ethernet MAC IP Core. This is individual address of the used Ethernet core.  When r_Pro bit is cleared then every destination address is compared to the MAC address. Frame is accepted only when two address match. 
When r_Iam signal is set then besides checking the MAC address, hash table algorithm is used. The Ethernet controller maps any 48-bit address into one of 64 bits. If that bit is set in the HASH registers (HASH0 and r_HASH1 are making one 64-bit hash register), then frame is accepted. 

As said before, packet reception always starts regardless of the destination address of the incoming packet. As soon as the destination address is received, it is checked if it matches with any of the above-mentioned conditions. If the match doesnt occur than the reception of the whole packet is aborted (signal RxAbort is set to 1). The packet is not written to the memory and receive buffer is flushed. 


2.3.2.3 Description of the rxcounters module (eth_rxcounters.v) 

The module consists of three counters, which are:
ByteCnt  generally used counter in the receive module.  
IFGCounter  used for counting the IFG (inter frame gap)
DlyCrcCnt   counter, used when delayed CRC operation is enabled.

Besides that a number of comparators are in this module, used for various purposes. 


2.3.2.4 Description of the rxstatem module (eth_rxstatem.v) 

There is just one state machine used in the receive module of the Ethernet IP core. This module is placed in the eth_rxstatem sub-module. 

The state machine has six different states:
Idle state
Drop state
Preamble state
SFD (standard frame delimiter) state
Data 0 state
Data 1 state

State machine (SM) goes to the drop state (StateDrop) after the reset and immediately after that to the idle state (StateIdle) because MRxDV is set to 0. As soon as there is a valid data available on the PHYs data lines (MRxD), PHY informs receiver about that by setting the MRxDV signal to one. 

Normally receiver expects preamble at the beginning of each packet. Standard preamble is 7 byte long (0xee). After that a one-byte SFD (start frame delimiter) is expected (0xde). If we put this together, then sample 0xdeeeeeee is expected (LSB received first). 

Because the Ethernet IP core can also accept packets that dont have a standard 7-byte preamble but only the SFD, receivers SM waits for the first 0x5 nibble (it is not important whether this nibble is part of the preamble or of the SFD). If the received character differs from the expected nibble, then the SM goes to the preamble state (StatePreamble) and remains there until the correct nibble (0x5) is received. Once the 0x5 nibble is received, SM goes to the SFD state (StateSFD) where it waits for the 0xd nibble. 

From here two things, depending on the value of the IFGCounterEq24 signal, may occur (next paragraph describes IFGCounterEq24 signal). If IFGCounterEq24 is set then:
SM goes to the data0 state (StateData0) where lower data nibble is received and then to the data1 state (StateData1) where higher data nibble is received. SM goes back to the data0 state. SM continues going from data state 0 to data state 1 and vice versa until whole data packet is received and end of packet is detected (PHY clears the MRxDV signal). Once the data valid signal is cleared, SM goes to the idle state (StateIdle) and everything starts again.

else (IFGCounterEq24 is cleared)

SM goes to the drop state (StateDrop) and remains there until the end of valid data is reported (PHY clears the MRxDV signal). After that SM goes to the idle state (StateIdle) and everything starts again.

Signal IFGCounterEq24 is used for detecting the proper gap between two consecutive received frames (Inter Frame Gap). By the standard this gap must be at least 960 ns for 100 Mbps mode or 9600ns for 10 Mbps mode. If the gap is appropriate (equal or greater than requested), then IFGCounterEq24 is set to 1. Signal IFGCounterEq24 is also set to 1, when IFG bit in the MODER register is set (minimum inter frame gap is not checked). If the IFG gap between two frames is too small, frame wont be accepted but dropped. 



2.3.3 Description of the Transmit module (eth_txethmac.v)

The Transmit module (TX) is in charge for transmitting data. TX module gets data that needs to be transmitted from WISHBONE interface (WBI) module in the byte form. Besides that it also receives signals that mark start of the data frame (TxStartFrm) and end of the data frame (TxEndFrm). As soon as the TX module needs next data byte, it sets the TxUsedData and WBI module provides the next byte. 
TX module sets number of signals to inform WBI module on one side and Ethernet PHY chip on the other about the operation status (done, retry, abort, error, etc.).

The Transmit module consists of four sub modules:
eth_crc  Cyclic Redundancy Check (CRC) module generates 32-bit CRC that is appended to the data field. 
eth_random  Generates random delay that is needed when back off is performed (after the collision)
eth_txcounters  Various counters needed for packet transmission
eth_txstatem  State machine for TX module

Signals, connected to the Ethernet PHY chip are:
Data nibble MTxD. This is the data that will be sent on the Ethernet by the PHY.
Transmit enable MTxEn tells PHY that data MTxD is valid and transmission should start.
Transmit error MTxErr tells PHY that an error happened during the transmission. 

Signals, connected to the upper layer module (WBI module) are:
Transmit packet done TxDone (see next paragraph)
Transmit packet retry TxRetry (see next paragraph)
Transmit packet abort TxAbort (see next paragraph)
TxUsedData;

Every transmission ends in one of the following ways:
Transmission is successfully finished. Signal TxDone is set. 
Transmission needs to be repeated. Signal TxRetry is set. This happens when a normal collision occurs (in half-duplex mode). 
Transmission is aborted. Signal TxAbort is set. This happens in the following situations: 
Packet is too big (bigger than the max. packet (See MAXFL field of the PACKETLEN register)).
Underrun occurs (WBI module can not provide data on time).
Excessive deferral occurs (TX state machine remains in the defer state for too long).
Late collision occurs (late collision is every collision that happens later than COLLVALID bytes after the preamble (See COLLCONF register)).
Maximum number of collisions happens (See MAXRET field of the COLLCONF register).

Besides all previously mentioned signals, TX module provides other signals: 
WillTransmit notifies the receiver that transmitter will start transmitting. Receiver stops receiving until WillTransmit is cleared. 
Generating the collision reset signal (collision detected asynchronously comes from the PHY chip and is synchronized to the TX clock signal). ResetCollision signal is used to reset synchronizing flip-flop.
Collision window ColWindow marks a window within every collision is treated as a valid (regular) collision. After a collision packet is retransmitted. Every collision that occurs after that is a late collision (packets with late collision are aborted).
Retry counter RetryCnt.
Data_Crc, Enable_Crc and Initialize_Crc that are used for CRC generation.





2.3.3.1 Description of the CRC (Cyclic Redundancy Check) module (eth_crc.v) 

This module is used for CRC calculation. The calculated CRC is appended to the data frame. This module is also used in the RX module for CRC checking.


2.3.3.2 Description of the random module (eth_random.v)

When a collision occurs, TX module first sends a jam pattern (0x99999999) and then stops transmitting. Before a retransmission starts, TX performs a backoff. TX waits before it starts transmitting for some amount of time. The amount of time is semi random and is calculated in the eth_random module. Binary Exponential algorithm is used for that purpose. Backoff time is random within predefined limits. This limits increase with the number of collisions. 


2.3.3.3 Description of the TX counters module (eth_txcounters.v)

There are three counters in the eth_txcounters module. These counters are only used in the TX modules. 

The DlyCrcCnt counter is used when a delayed CRC generation is needed to count 

The nibble counter NibCnt count nibbles while ByteCnt counts bytes. Which one of the counters is used depends off the needed resolution.


2.3.3.4 Description of the TX state machine module (eth_txstatem.v)

The TX module has one general state machine that is in the eth_txstatem module. This state machine has eleven states: 
StateIdle
StatePreamble
StateData0
StateData1
StatePAD
StateFCS
StateIPG
StateJam
StateJam_q
StateBackOff
StateDefer  

After the reset defer state (StateDefer) is activated. After that the state machine goes to the Inter Packet Gap state (StateIPG) and then to the idle state (StateIdle). Why this is so, is not important at the moment. 

Lets start with the description after the state machine comes to the idle state. This is the most often used state. When transmitter has nothing to do, it waits in the idle mode for the transmission request. Wishbone Interface (WBI) requests the transmission by setting the TxStartFrm signal to 1 for two clock cycles (together with the first byte of the data that needs to be sent). This forces the state machine (SM) to go to the preamble state (StatePreamble). In the preamble state MTxEn signal is set to 1, informing the Ethernet PHY chip that transmission will start. Together with the MTxEn signal, data signal MTxD is set to the preamble value 0x5. After the preamble is sent (0x5555555), SFD is sent (Start Frame Delimiter (0xd)). After that SM goes to the data0 state (StateData0) and signal TxUsedData is set to inform the WBI to provide next data byte. LSB nibble of the data byte is sent and then SM goes to the data1 state (StateData1), where the MSB nibble of the data byte is sent. SM continues to switch between the data0 and data1 states until the end of the packet. When there is just one byte left to be send, WBI sets the signal TxEndFrm that marks the last byte of the data that needs to be sent. 
From here, there are several possibilities:
If the data length is greater or equal to the minimum frame length (value written in the MINFL field of the PACKETLEN register) and CRC is enabled (bit CRCEN in the MODER register is set to 1 or bit CRC of the transmit descriptor is set to 1) then SM goes to the StateFCS state where the 32-bit CRC value, calculated from the data, is appended. Then the SM goes to the defer state (StateDefer), then to the Inter Packet Gap state (StateIPG) and from there to the idle state (StateIdle) where everything starts again. 
If the data length is greater or equal to the minimum frame length (value written in the MINFL field of the PACKETLEN register) and CRC is disabled (bit CRCEN in the MODER register is set to 0 and bit CRC of the transmit descriptor is set to 0) then SM goes to the defer state (StateDefer), then to the Inter Packet Gap state (StateIPG) and from there to the idle state (StateIdle) where everything starts again. 
If the data length is smaller than the minimum frame length (value written in the MINFL field of the PACKETLEN register) and padding is enabled (bit PAD in the MODER register is set to 1 or bit PAD of the transmit descriptor is set to 1), then the SM goes to the pad state (StatePAD) where data is padded with zeros until the minimum frame length is achieved. Then the SM goes to the StateFCS state where the 32-bit CRC value, calculated from the data, is appended. Then the SM goes to the defer state (StateDefer), then to the Inter Packet Gap state (StateIPG) and from there to the idle state (StateIdle) where everything starts again.
If the data length is smaller than the minimum frame length (value written in the MINFL field of the PACKETLEN register), padding is disabled (bit PAD in the MODER register is set to01 and bit PAD of the transmit descriptor is set to 0) and  CRC is enabled (bit CRCEN in the MODER register is set to 1 or bit CRC of the transmit descriptor is set to 1) then the SM goes to the StateFCS state where the 32-bit CRC value, calculated from the data, is appended. Then the SM goes to the defer state (StateDefer), then to the Inter Packet Gap state (StateIPG) and from there to the idle state (StateIdle) where everything starts again.
If the data length is smaller than the minimum frame length (value written in the MINFL field of the PACKETLEN register), padding is disabled (bit PAD in the MODER register is set to01 and bit PAD of the transmit descriptor is set to 0) and  CRC is disabled (bit CRCEN in the MODER register is set to 0 and bit CRC of the transmit descriptor is set to 0) then the SM goes to the defer state (StateDefer), then to the Inter Packet Gap state (StateIPG) and from there to the idle state (StateIdle) where everything starts again.



2.3.4 Description of the Control module (eth_maccontrol.v)

The Control module is in charge for data flow control, when Ethernet IP Core is in the 100Mbps full duplex operating mode. 
Control module consists of multiplexing logic and two sub modules:
eth_transmitcontrol
eth_receivecontrol

Flow control is done by sending and receiving pause control frames. 

When the device that is connected to the WISHBONE interface of Ethernet IP Core (usually a processor) cannot process all those packets that it has received (and is still receiving), it requests a pause from the other station that is sending packets. The pause is requested by sending a pause control frame to the other station (see Ethernet IP Core Specification for details about the control frame). As soon as the other station receives pause request, it stops transmitting. The transmission is restarted after the requested pause time passes or pause request is switched off. The transmit flow control is done in the eth_transmitcontrol module. See description of the eth_transmitcontrol module for more details.

When the Ethernet IP Core receives a pause request, it stops transmitting for the requested time. This is done in the eth_receivecontrol module. See description of the eth_receivecontrol module for more details.

Multiplexing logic is used for multiplexing data and control signal used in normal transmission with data and control signals used for control frame transmission (see signals TxUsedDataOut, TxAbortOut, TxDoneOut, TxEndFrmOut, TxStartFrmOut).

When control frames are sent, padding and CRC generation is automatically switched on (see PadOut and CrcEnOut signals). 



 EMBED Visio.Drawing.5  
Figure  SEQ Figure \* ARABIC 3: Multiplexing Data and Control Signals in Control Module2.3.4.1 Description of the CRC (Cyclic Redundancy Check) module (eth_crc.v) 

This module is used for CRC calculation. The calculated CRC is appended to the
2.3.5 Description of the Status module (eth_macstatus.v)

The Status module is in charge for monitoring the Ethernet MAC operations. Module monitors several conditions and after every completed operation (received or sent frame), it writes a status to the related buffer descriptor. Not all statuses are written to the buffer descriptors. See following sections for more details.

Statuses for received frames are normally latched at the end of the reception stage (when signal TakeSample goes to 1). Soon after that statuses are reset (when  signal LoadRxStatus goes to 1).

2.3.5.1 Rx Error (LatchedMRxErr)

This error notifies that the PHY detected an error while receiving a frame. In this case frame reception is aborted and no error reported. When invalid symbol is accepted, frame is still received and invalid symbol error reported in the Rx BD.

2.3.5.2 Rx CRC Error (LatchedCrcError)

This error notifies that a frame with invalid CRC was received. Such frame is normally received except that the CRC error status is set in the related Rx BD. If received frame is a control frame (pause frame), then pause timer value is not set.

2.3.5.3 Rx Invalid Symbol (InvalidSymbol)

This error notifies that a frame with invalid symbol was received. Invalid symbol is reported by the PHY when it is operating in the 100 Mbps mode (PHY sets data lines to 0xe when symbol error is detected).

2.3.5.4 Rx Late Collision (RxLateCollision)
When a late collision occurs, frame is normally received and late collision reported in the Rx BD. Late collision reflects the abnormal operation on the Ethernet (should never happen). See COLLCONF register in the Ethernet IP Core Specification for more details about the late collision.

2.3.5.5 Rx Short Frame (ShortFrame)
Short frames are normally (by default) aborted. This means that their appearance is not recorded anywhere. However if their reception is enabled (by setting the RECSMALL bit in the MODER register to 1), then the SF bit is set to 1 in the Rx BD when a short frame appears. Minimum length is defined in the PACKETLEN register in the Ethernet IP Core Specification.

2.3.5.6 Rx Big Frames (ReceivedPacketTooBig)
By default the reception of the big frames is switched off. If frame that is bigger than the maximum frame specified in the PACKETLEN register (See the Ethernet IP Core Specification) is received, then frame reception is automatically stopped at the maximum value (no big frame status is written anywhere). If reception of the big frames is enabled (See HUGEN bit in the MODER register in the Ethernet IP Core Specification), then the TL bit is set in the Rx BD when packet bigger then the maximum size is received. 

2.3.5.7 Rx Dribble Nibble (DribbleNibble)
DN bit is set in the Rx BD when an extra nibble is received as a part of the frame (frame is not byte aligned). CRC error occurs at the same time, so both errors are simultaneously reported.

2.3.5.8 Tx Retry Count (RetryCntLatched)
After every frame is transmitted the number of retries is written to the RTRY field of the Tx BD. The retry count gives information about that how many times transmitter retried before successfully transmitting a frame. 

2.3.5.9 Tx Retry Limit (RetryLimit)
When a number of retransmission attempts is bigger then specified in the COLLCONF register (see Ethernet IP Core Specification), frame transmission is aborted and bit RL is set in the Tx BD. 

2.3.5.10 Tx Late Collision (LateCollLatched)
Late collision should never occur. If it occurs during the frame transmission, the transmission is aborted and LC status is written to the associated Tx BD. See COLLVALID field of the COLLCONF register (Ethernet IP Core Specification) for more information on late collision. 

2.3.5.11 Tx Defer (DeferLatched)
When frame was deferred before being sent successfully (i.e. the transmitter had to wait for Carrier Sense before sending because the line was busy), the DF bit is set in the associated Tx BD. This is not a collision indication. Collisions are indicated in RTRY. 

2.3.5.12 Tx Carrier Sense Lost (CarrierSenseLost)
When Carrier Sense is lost during a frame transmission, bit CS is set in the associated Tx BD. Status is written after the frame is sent. 



Following statuses are not part of the Status Module. They are generated in the  module and used in the Tx and Rx BD. 


2.3.5.13 Tx Underrun (UnderRun)
Underrun is detected in the WISHBONE module and reported in the Tx BD after frame transmission is aborted due to the underrun. This means that the host was not able to provide data is being transmitted on time. This is not a normal condition and should never happen. 

2.3.5.14 Rx Overrun (OverRun)
Overrun is detected in the WISHBONE module and reported in the Rx BD. When Overrun status is set, It means that the host was not able to store received data to the memory on time and Rx FIFO overrun happened. Some of the data was lost. 

2.3.5.15 Rx Miss (Miss)
When Ethernet MAC is configured to accept all frames regardless of their destination address (PRO bit is set in the MODER register (see Ethernet IP Core Specification)), MISS bit tells if a received frame contains a valid address or not. 



Additionally following signals are generated in the status module:
ReceivedLengthOK reports when the received frame has a valid length
ReceiveEnd reports the end of the reception. This signal is used in the control module for resetting several flip-flops and setting the pause timer.


2.3.6 Description of the Registers module (eth_registers.v)

Functionality of registers is described in the Ethernet IP Core Specification. 

Although all registers are described as 32-bit registers, only the actually needed width is used. Other bits are fixed to zero (ignored on write and read as zero). Each register is instantiated with two parameters, width and reset value. Reset value defines whether register clears its value to zero or set to some predefined value after the reset. 


 


2.3.6.1 Description of the eth_register module (eth_register.v)

This module contains one single register. The width of the register and its reset value are defined with two parameters: 
WIDTH
RESET_VALUE. 


2.3.7 Description of the WISHBONE interface module (eth_wishbone.v)

Module has multiple functions:
It is the interface between the Ethernet Core and other devices (memory, host). Two WISHBONE interfaces (slave and master) are used for this manner.
Contains buffer descriptors (in the internal RAM).
Contains receive and transmit FIFO.
Contains synchronization logic for signals that spread through different clock domains.
Transmit related function that reads TX BD and then starts WISHBONE master interface, fills the TX FIFO and then starts the transmission. At the end it writes status to the related TX BD.
Receive related function that reads RX BD, assembles incoming bytes to words and then writes them to the RX FIFO. They are then written to the memory through the WISHBONE master interface. At the end it writes status to the related RX BD.



2.3.7.1 WISHBONE Slave Interface

Ethernet registers and buffer descriptors (BD) are all accessed through the same WISHBONE Slave Interface. Registers are located in the eth_registers module, while BDs are saved in the internal RAM within the eth_wishbone module. Selection between registers and BD accesses is done in the eth_top module. This means that all accesses that reach eth_wishbone module are meant for buffer descriptors (See following Buffer Descriptor section for more details). All output signals (from slave WISHBONE interface) can be registered or not. Selection is done with ETH_REGISTERED_OUTPUTS define in the eth_defines.v file. 

2.3.7.2 WISHBONE Master Interface

The Ethernet core uses WISHBONE master interface for accessing the memory space where the buffers (data) are stored. Both, the receiver and the transmitter access data through the same WISHBONE master interface. For this purposes a state machine is build. The state machine multiplexes access from TX and RX modules (See MasterWbTX and MasterWbRX signals). Following signals are used in the state machine: 
MasterWbTX
MasterWbRX
ReadTxDataFromMemory_2
WriteRxDataToMemory
MasterAccessFinished
cyc_cleared
tx_burst
rx_burst


When a Receiver receives data from the Ethernet and needs to store it to the memory, it asserts the WriteRxDataToMemory signal. Write access can start immediately or is delayed (depending if another access is already in progress, the type of the previous access and number of requested accesses). MasterWbRX is set to 1 when receiver uses the WISHBONE bus.

When a Transmitter needs to send data, it reads the data from the memory. ReadTxDataFromMemory_2 is asserted when transmitter needs data from the memory. Read access can start immediately or is delayed (depending if another access is already in progress, the type of the previous access and number of requested accesses). MasterWbTX is set to 1 when transmitter uses the WISHBONE bus.

Every WISHBONE access is finished when slave asserts acknowledge or error signal. Both signals are joined together in MasterAccessFinished signal.
After every access, m_wb_cyc_o signal must be cleared to zero because of the traffic COP limitations. When there are two consecutive single accesses performed one after another, state machine goes to the temporary idle state where signal cyc_cleared is set and m_wb_cyc_o cleared to zero. After that a normal read or write operation starts. Both, single accesses and burst accesses are supported. 

Accesses to/from addresses that are not word-aligned are supported.

When transmitter needs to send data that is stored in the memory at non-aligned address, following procedure is used:
Pointer to the TX buffer is stored to three different registers: TxPointerMSB, TxPointerLSB and TxPointerLSB_rst. TxPointerMSB is used for accessing the word-aligned memory. After every WISHBONE access TxPointerMSB is incremented and points to the next word in the memory. TxPointerLSB bits remain unchanged during the whole operation of packet sending. Since word accesses are performed, valid data does not necessarily start at byte 0 (could be byte 0, 1, 2 or 3). TxPointerLSB is used only at the beginning (when accessing the first data word) for proper selection of the start byte (TxData and TxByteCnt signals depend on it). After the read access, TxLength needs to be decremented for the number of the valid bytes (1 to 4). After the first read all bytes are valid so this two bits are reset to zero. For this reason TxPointerLSB_rst is used. This signal is the same as TxPointerLSB except that it resets to zero after the first read access.

When receiver need to store data to the memory at word-unaligned address, the following procedure is used: 
Buffer descriptor pointer is stored to two different registers: RxPointerMSB and RxPointerLSB_rst. Accesses are always performed to word-aligned locations. For that reason the RxPointerMSB with two LSB bits fixed to zero are used. Byte select signals (RxByteSel) are used for solving the alignment problem. (I.e. If RxPointer is 0x1233, then word access to 0x1230 is performed and RxByteSel is set to 0x1). RxPointerLSB_rst signal is used for RxByteSel, RxByteCnt, RxValidBytes and RxDataLatched1 signals generation. RxByteSel is used as byte select signal when writing data to the memory through the wishbone interface. After the first write access, RxPointerLSB_rst is reset to zero and all byte selects (RxByteSel) become valid (only word accesses are performed). 

RxByteCnt counts bytes within the word. It is used for proper latching of the input data, setting the conditions when to write data to the RX FIFO and to mark when the last byte is received through the Ethernet. RxValidBytes marks how many bytes are valid within the last word that is written to the memory. 

Note: Even when not all bytes are valid when writing the last word to the memory, full word is written (invalid bytes are written as zeros). 


2.3.7.3 Tx and Rx Buffer Descriptors

Buffer descriptors are located in the internal RAM at addresses between 0x400 and 0x7ff. Each BD is 8 bytes long (4 bytes for status and 4 bytes for pointer). Access to buffer descriptors is only possible when Ethernet MAC Controller is not in reset (See RST bit in the MODER register). As soon as the READY bit is set in the TX BD (READY bit in the RX BD), descriptor cannot be changed until transmitter clears that bit to zero (receiver). There are totally 128 buffer descriptors that can be used for both, transmit (TX) or receive (RX). Number of TX BD is defined in the TX_BD_NUM register. The rest are used for RX BD. 

Example:
If value 0x32 is written in the TX_BD_NUM register, it means that there are 50 TX BD and 78 RX BD (128-50)). 
Tx BDs are accessible between 0x400 and 0x58c (8 x 0x32 + 0x400 - 4).
Rx BDs are accessible between 0x590 (8 x 0x32 + 0x400) and 0x7fc.

For detailed description of the buffer descriptors, please read the Buffer Descriptors (BD) section of the Ethernet IP Core Specification.

Single port RAM is used for buffer descriptors (smaller). Three devices can access RAM: 
Host through the WISHBONE slave interface
Transmitter
Receiver

Smart access multiplexing is done with a state machine (see generation of the WbEn, RxEn and TxEn signals). Multiplexing depends on the RxEn_needed and TxEn_needed signals. 

RxEn_needed informs the state machine that the receiver needs to access a buffer descriptor in the RAM (needs to write a status (after receiving a frame) to it or needs an empty buffer descriptor to start with the reception). 
After the reset RxBDRead is set to 1 and RxBDReady is set to zero. This means that there is a need to read an empty buffer descriptor from the RAM (signal RxEn_needed is set to 1). A read cycle to the RxBDAddress is started. If a BD that is not mark as empty is read, the same procedure is repeated. As soon as a BD that is marked as empty (bit EMPTY set to 1) is read, a pointer related to the same BD is needed. Another read is performed to the address where pointer is stored (RxBDAddress + RxPointerRead). After that there is no need for receiver to read the BDs and signal RxEn_needed is cleared to zero with RxPointerRead signal. Reception of the frame starts automatically. When a frame is received, signal ShiftEnded is set to 1. This signal clears RxBDReady signal, which then sets RxEn_needed to 1. Status is written to the related receive BD, address is incremented and read to the next BD is started.

TxEn_needed tells to the state machine there is a need that transmitter accesses the buffer descriptors in RAM. Operation of the TX BD is very similar to the operation of the Rx BD. In this case used signals are TxBDRead, TxBDReady, TxPointerRead, TxStatusWrite. 

2.3.7.4 Tx and Rx FIFO

Both, TX and RX sides have FIFO-s. Defines related to the FIFO-s are in the eth_defines.v file:
TX_FIFO_CNT_WIDTH, TX_FIFO_DEPTH, TX_FIFO_DATA_WIDTH for TX FIFO
RX_FIFO_CNT_WIDTH, RX_FIFO_DEPTH, RX_FIFO_DATA_WIDTH for RX FIFO

Currently both FIFO-s are 16-words deep. 

After the TX BD is read (both status and pointer), data is read from the memory through the master Wishbone interface and stored to the TX FIFO. Actual transmission starts as soon as the TX FIFO is full (to keep the possibility of the underruns as low as possible). When there is space for at least one word in the FIFO, another read is performed. 

After the RX BD is read (both status and pointer) and there is some incoming data in the FIFO (at least one word), write to the memory is immediately performed. Reception of the next frame is possible after all data is written to the memory (FIFO is empty). 

2.3.7.5 Synchronization Logic

Typical approach was that at least two flip-flops were used when crossing different clock domains. Those signals that crossed clock domains and were available long time before its actual use were not synchronized. 





Ethernet MAC IP Core Testbench
3.1         Overview
Ethernet MAC IP Core testbench consists of a whole environment for testing Ethernet MAC IP Core, including Ethernet PHY model, WISHBONE bus models with bus monitors and test cases, which use those models to stimulate transactions through the Ethernet. Those transactions are checked in many different modes.

3.2       Testbench File Hierarchy

The hierarchy of modules in the Testbench of the Ethernet MAC IP Core is shown here with file tree. Each file here implements one module in a hierarchy. Source files of the Testbench are in the ethernet\bench\verilog subdirectory. 


tb_ethernet.v
.       eth_phy.v
.       wb_bus_mon.v
.       wb_master_behavioral.v
.       .       wb_master32.v
.       wb_slave_behavioral.v
.       wb_model_defines.v
.       tb_eth_defines.v
.       eth_phy_defines.v


3.2.1 Testbench Module Hierarchy
Module hierarchy is shown in detail in the following picture. Description of modules and their connections is in the chapter 3.3, Description of Testbench Modules.
 EMBED Visio.Drawing.5  
Figure  SEQ Figure \* ARABIC 4: Test Bench Module Hierarchy3.3 Description of Testbench Modules
The module tb_ethernet.v is used as testing environment and it incorporates beside all test submodules, functions and tasks also Unit Under Test (Ethernet MAC IP Core). Description of tasks is covered in chapter Description of Testcases, while all test submodules are described in the following chapters.



3.3.1 Description of Ethernet PHY module

Ethernet PHY module simulates simplified Intel LXT971A PHY chip. 

Ethernet PHY provides two clock signals to the Ethernet MAC Core: transmit clock (mtx_clk_o) and receive clock (mrx_clk_o). Depending on the control bits, TX and RX clock operate at 2.5 MHz for 10 Mbps operation or 25 MHz for 100 Mbps operation (only bit [13] is used for clock frequency setting). TX and RX clock signals are not synchronous. When Ethernet link is not up, RX clock has a random frequency between 2 MHz and 40 MHz. 

PHY has an MIIM interface, which is connected to the Ethernet core. All transactions are monitored and every error/warning reported. Besides that PHY has several registers implemented in it (Control, Status and two Identification registers). 

PHY provides carrier sense and collision signals. Both signals can be set through several tasks.

When transmitting data (PHY is receiving data), PHY controls the protocol (preamble, sfd, writes length and data to its memory).

When PHY sends data to the Ethernet MAC, it can generate various preambles (different length, wrong preamble). It takes data from its memory. Testbench needs to write data to PHYs memory before PHY can start with transmission. 


3.3.2 Description of WB submodules

3.3.2.1 wb_bus_monitor submodule
The module wb_bus_monitor.v monitors the WB Bus and tries to see WB Protocol Errors. There are two point-to-point WB buses:
WB master from Ethernet MAC IP Core that goes to the WB Slave Behavioral unit (for writing and reading data)
WB slave from WB Master Behavioral  unit to the Ethernet MAC IP Core (used for accessing registers and buffer descriptors)

There are also two WB bus monitors, one for each WB bus.

3.3.2.2 wb_master_behavioral submodule
The module wb_master_behavioral.v is used to initiates WB cycles to WB Slave in the Ethernet MAC IP Core. That is controlled by top-level. This module also includes a submodule wb_master32.v, which is used to generate proper WB cycles. The length and type of each cycle is controlled by wb_master_behavioral.v module. This module also incorporates a block of SRAM.


3.3.2.3 wb_slave_behavioral submodule
The module wb_slave_behavioral.v responds to cycles initiated by WB Master in the Ethernet MAC IP Core. When to respond and a type of cycle termination is controlled by top-level. This module also incorporates a block of SRAM.

3.4 Description of Testcases

There are some tasks not used as testcases (e.g. clear_memories, hard_reset, reset_mac, reset_mii), but are significant for proper working of testbench. All Testcases are in tb_ethernet.v file and are sometimes combined with more tasks or are just a part of one task. Some system parameters (wbm_init_waits, wbm_subseq_waits, etc.) are used with all possible combinations while running all testcases (most of testcases are used more than one time). In the following chapters basic descriptions for groups of testcases are presented. Each testcase has its name with a test type meaning. For deeper explanations of testcases see the comments in the tb_ethernet.v file. Testbench also provide log files as a result of all tests into ethernet/sim/rtl_sim/nc_sim/log directory. File eth_tb.log has all testcases results written as SUCCESSFULL or FAIL. Files eth_tb_wb_m_mon.log, eth_tb_wb_s_mon.log, eth_tb_phy.log report any wrong and suspicious activities on both WB buses and PHY signals.


3.4.1 Description of MAC Registers and BD Tests

There are several tests to test the MAC Registers and Buffer Descriptors (test_access_to_mac_reg):

Following test cases are for testing Ethernet MAC internal registers:
Walking 1 with single cycles across MAC registers. 
Test maximum register values and register values after writing inverse reset values and hard reset of the MAC.

Following test cases are for testing Ethernet MAC buffer descriptors:
Walking 1 with single cycles across MAC buffer descriptors. 
Test buffer descriptors. RAM preserves values after hard reset of the MAC and resetting the logic.

3.4.2 Description of MIIM Module Tests

There are several tests for testing MII Management module:
Test clock divider of MII management module with all possible frequencies.
Test various readings from 'real' PHY registers.
Test various writings to 'real' PHY registers (control and non-writable registers) 
Test reset PHY through MII management module 
Test 'walking one' across PHY address (with and without preamble) 
Test 'walking one' across PHY's register address (with and without preamble) 
Test 'walking one' across PHY's data (with and without preamble) 
Test reading from PHY with wrong PHY address (host reading high 'z' data)                                  
Test writing to PHY with wrong PHY address and reading from correct one                                        
Test sliding stop scan command immediately after read request (with and without preamble) 
Test sliding stop scan command immediately after write request (with and without preamble) 
Test BUSY and NVALID status durations during write (with and without preamble) 
Test BUSY and NVALID status durations during write (with and without preamble) 
Test BUSY and NVAILD status durations during scan (with and without preamble) 
Test scan status from PHY with detecting LINKFAIL bit (with and without preamble) 
Test scan status from PHY with sliding LINKFAIL bit (with and without preamble) 
Test sliding stop scan command immediately after scan request (with and without preamble) 
Test sliding stop scan command after 2nd scan (with and without preamble) 


 TIME \@ "MMMM d, yyyy" October 29, 2002    Ethernet IP Core Design Document


PAGE  



PAGE  40
http://www.opencores.org Rev 0.4 Preliminary             




 TIME \@ "MMMM d, yyyy" October 29, 2002    Ethernet IP Core Design Document


PAGE  1

http://www.opencores.org     Rev 0.4 Preliminary             

















 EMBED Visio.Drawing.5  






+-TW`a56789:;TUVWXYZ[\wxƲΨq)5;CJOJQJaJmHnHsH$tH$uj{UmHnHujUmHnHumHnHu0JaJ`mHnHu&j>*B*UmHnHphu0JmHnHuj0JUmHnHu;j;U5\5CJ mHnHu jU6CJ 5CJ4' 
y'Ș
V=RR"34ohP;yj!D~e^:3<>L>3









Ethernet IP CoreDesign Document


Author: Igor Mohor
IgorM@opencores.org



Rev. 0.4
 DATE \@ "MMMM d, yyyy" \* MERGEFORMAT October 29, 2002














This page has been intentionally left blank.
Revision History
Rev.DateAuthorDescription0.109/09/02Igor MohorFirst Draft0.222/10/02Igor MohorDescription of Core Modules added (figure), Some test description added.0.329/10/02Igor MohorSome figures added.0.429/10/02IMDescription of test cases added. 
List of Contents
 TOC \o "1-3" \h \z  HYPERLINK \l "_Toc23656986" 1        PAGEREF _Toc23656986 \h 1
 HYPERLINK \l "_Toc23656987" Introduction     PAGEREF _Toc23656987 \h 1
 HYPERLINK \l "_Toc23656988" 1.1 Ethernet IP Core Introduction        PAGEREF _Toc23656988 \h 1
 HYPERLINK \l "_Toc23656989" 1.2 Ethernet IP Core Features    PAGEREF _Toc23656989 \h 1
 HYPERLINK \l "_Toc23656990" 1.3 Ethernet IP Core Directory Structure         PAGEREF _Toc23656990 \h 3
 HYPERLINK \l "_Toc23656991" 2        PAGEREF _Toc23656991 \h 5
 HYPERLINK \l "_Toc23656992" Ethernet MAC IP Core     PAGEREF _Toc23656992 \h 5
 HYPERLINK \l "_Toc23656993" 2.1     Overview         PAGEREF _Toc23656993 \h 5
 HYPERLINK \l "_Toc23656994" 2.1.1 WISHBONE Interface         PAGEREF _Toc23656994 \h 5
 HYPERLINK \l "_Toc23656995" 2.1.2 Transmit Module    PAGEREF _Toc23656995 \h 5
 HYPERLINK \l "_Toc23656996" 2.1.3 Receive Module     PAGEREF _Toc23656996 \h 6
 HYPERLINK \l "_Toc23656997" 2.1.4 Control Module     PAGEREF _Toc23656997 \h 6
 HYPERLINK \l "_Toc23656998" 2.1.5 MII Module (Media Independent Module)      PAGEREF _Toc23656998 \h 6
 HYPERLINK \l "_Toc23656999" 2.1.6 Status Module      PAGEREF _Toc23656999 \h 6
 HYPERLINK \l "_Toc23657000" 2.1.7 Register Module    PAGEREF _Toc23657000 \h 6
 HYPERLINK \l "_Toc23657001" 2.2     Core File Hierarchy      PAGEREF _Toc23657001 \h 6
 HYPERLINK \l "_Toc23657002" 2.3     Description of Core Modules      PAGEREF _Toc23657002 \h 8
 HYPERLINK \l "_Toc23657003" 2.3.1 Description of the MII module (eth_miim.v)         PAGEREF _Toc23657003 \h 10
 HYPERLINK \l "_Toc23657004" 2.3.2 Description of the Receive module (eth_rxethmac.v)        PAGEREF _Toc23657004 \h 12
 HYPERLINK \l "_Toc23657005" 2.3.3 Description of the Transmit module (eth_txethmac.v)       PAGEREF _Toc23657005 \h 17
 HYPERLINK \l "_Toc23657006" 2.3.4 Description of the Control module (eth_maccontrol.v)      PAGEREF _Toc23657006 \h 22
 HYPERLINK \l "_Toc23657007" 2.3.5 Description of the Status module (eth_macstatus.v)        PAGEREF _Toc23657007 \h 24
 HYPERLINK \l "_Toc23657008" 2.3.6 Description of the Registers module (eth_registers.v)     PAGEREF _Toc23657008 \h 27
 HYPERLINK \l "_Toc23657009" 2.3.7 Description of the WISHBONE interface module (eth_wishbone.v)     PAGEREF _Toc23657009 \h 28
 HYPERLINK \l "_Toc23657010" 3       PAGEREF _Toc23657010 \h 34
 HYPERLINK \l "_Toc23657011" Ethernet MAC IP Core Testbench  PAGEREF _Toc23657011 \h 34
 HYPERLINK \l "_Toc23657012" 3.1    Overview         PAGEREF _Toc23657012 \h 34
 HYPERLINK \l "_Toc23657013" 3.2    Testbench File Hierarchy         PAGEREF _Toc23657013 \h 34
 HYPERLINK \l "_Toc23657014" 3.2.1 Testbench Module Hierarchy        PAGEREF _Toc23657014 \h 35
 HYPERLINK \l "_Toc23657015" 3.3 Description of Testbench Modules    PAGEREF _Toc23657015 \h 36
 HYPERLINK \l "_Toc23657016" 3.3.1 Description of Ethernet PHY module        PAGEREF _Toc23657016 \h 37
 HYPERLINK \l "_Toc23657017" 3.3.2 Description of WB submodules      PAGEREF _Toc23657017 \h 37
 HYPERLINK \l "_Toc23657018" 3.4 Description of Testcases    PAGEREF _Toc23657018 \h 38
 HYPERLINK \l "_Toc23657019" 3.4.1 Description of MAC Registers and BD Tests         PAGEREF _Toc23657019 \h 39
 HYPERLINK \l "_Toc23657020" 3.4.2 Description of MIIM Module Tests  PAGEREF _Toc23657020 \h 39

List of Tables
List of Figures



 TOC \h \z \c "Figure"  HYPERLINK \l "_Toc23670665" Figure 1: Ethernet IP Core Core Directory Structure      PAGEREF _Toc23670665 \h 3
 HYPERLINK \l "_Toc23670666" Figure 2: Core Modules   PAGEREF _Toc23670666 \h 9
 HYPERLINK \l "_Toc23670667" Figure 3: Multiplexing Data and Control Signals in Control Module        PAGEREF _Toc23670667 \h 23
 HYPERLINK \l "_Toc23670668" Figure 4: Test Bench Module Hierarchy   PAGEREF _Toc23670668 \h 36

Introduction
1.1 Ethernet IP Core Introduction
The Ethernet IP Core is a MAC (Media Access Controller). It connects to the Ethernet PHY chip on one side and to the WISHBONE SoC bus on the other. The core has been designed to offer as much flexibility as possible to all kinds of applications. 
The chapter 2 describes file hierarchy, description of modules, core design considerations and constants regarding the Ethernet IP Core. 
The chapter 3 describes test bench file hierarchy, description of modules, test bench design considerations, description of test cases and constants regarding the test bench.

1.2 Ethernet IP Core Features
The following lists the main features of the Ethernet IP core. 
Performing MAC layer functions of IEEE 802.3 and Ethernet
Automatic 32-bit CRC generation and checking
Delayed CRC generation
Preamble generation and removal
Automatically pad short frames on transmit
Detection of too long or too short packets (length limits)
Possible transmission of packets that are bigger than standard packets.
Full duplex support
10 and 100 Mbps bit rates supported
Automatic packet abortion on Excessive deferral limit, too small inter packet gap, when enabled
Flow control and automatic generation of control frames in full duplex mode (IEEE 802.3x)
Collision detection and auto retransmission on collisions in half duplex mode (CSMA/CD protocol)
Complete status for TX/RX packets
IEEE 802.3 Media Independent Interface (MII)
WISHBONE SoC Interconnection Rev. B2 and B3 compliant interface
Internal RAM for holding 128 TX/RX buffer descriptors
Interrupt generation an all events


1.3 Ethernet IP Core Directory Structure
Following picture shows the structure of directories of the Ethernet IP core. 

Figure  SEQ Figure \* ARABIC 1: Ethernet IP Core Core Directory Structure
There are two major parts of the Verilog code in the ethernet directory. First one is the code for the Ethernet MAC IP core. The Verilog files are in the ethernet\rtl\verilog subdirectory. The second one is the code for the Ethernet MAC Testbench. These files are used together with files for the Ethernet MAC. There are also some exceptions, but those will be mentioned later. The Verilog files are in the ethernet\bench\verilog subdirectory. 

The documentation is in the subdirectory ethernet\doc. Documentation consists of Ethernet IP Core Data Sheet, Ethernet IP Core Specification and Ethernet IP Core Design document.

ethernet\sim subdirectory is used for running simulation  testbench. The rtl_sim subdirectory is used for RTL ( functional ) simulation of the core. There are two sets of scripts for running the simulation. First set is used for running the testbench using NCSim simulator. Second set is used for running the testbench using ModelSIM simulator. Both are using the similar directory structure:

bin  includes various scripts needed for running Ncsim simulator
run  the directory from which the simulation is run. It provides a script for starting the simulation and a script for cleaning all the results produced by previous simulation runs
log  Ncvlog, Ncelab and Ncsim log files are stored here for review.  
out  simulation output directory  simulation stores all the results into this directory ( dump files for viewing with Signalscan, testbench text output etc. )


Generated files from synthesis tools, like gate level Verilog and log files, are stored in the ethernet\syn subdirectory and its subdirectories.





Ethernet MAC IP Core
2.1        Overview
The Ethernet MAC IP Core consists of seven main units: WISHBONE interface, transmit module, receive module, control module, MII module, status module and register module. Many of these modules have sub-modules. Module and sub-module operations are described later in this section.

2.1.1 WISHBONE Interface 
Consists of both master and slave interfaces and connects the core to the WISHBONE bus. Master interface is used for storing the received data frames to the memory and loading the data that needs to be sent from the memory to the Ethernet core. Interface is WISHBONE Revision B.2 and B.3 compatible (selectable with a define ETH_WISHBONE_B3 in the eth_defines.v file). 

2.1.2 Transmit Module
Performs all transmitting related operations (preamble generation, padding, CRC, etc.).

2.1.3 Receive Module
Performs all reception related operations (preamble removal, CRC check, etc).

2.1.4 Control Module
Performs all flow control related operations when Ethernet is used in full duplex mode.

2.1.5 MII Module (Media Independent Module)
Provides a Media independent interface to the external Ethernet PHY chip. 

2.1.6 Status Module
Records different statuses that are written to the related buffer descriptors or used in some other modules.

2.1.7 Register Module
Registers that are used for Ethernet MAC operation are in this module. 

2.2  Core File Hierarchy
The hierarchy of modules in the Ethernet core is shown here with file tree. Each file implements one module in a hierarchy. RTL source files of the Ethernet core are in the ethernet\rtl\verilog subdirectory. 


ethernet
.       sim
.       .       rtl_sim
.       .       .       src
.       .       .       run
.       rtl
.       .       verilog
.       .       .       eth_top.v
.       .       .       eth_crc.v
.       .       .       eth_cop.v
.       .       .       eth_miim.v
.       .       .       eth_defines.v
.       .       .       timescale.v
.       .       .       eth_random.v
.       .       .       eth_fifo.v
.       .       .       eth_wishbone.v
.       .       .       eth_maccontrol.v
.       .       .       eth_rxaddrcheck.v
.       .       .       eth_txstatem.v
.       .       .       eth_transmitcontrol.v
.       .       .       eth_txethmac.v
.       .       .       generic_spram.v
.       .       .       eth_rxcounters.v
.       .       .       eth_rxstatem.v
.       .       .       eth_outputcontrol.v
.       .       .       eth_register.v
.       .       .       eth_receivecontrol.v
.       .       .       eth_registers.v
.       .       .       eth_shiftreg.v
.       .       .       eth_txcounters.v
.       .       .       eth_clockgen.v
.       .       .       eth_rxethmac.v
.       .       .       eth_macstatus.v
.       doc
.       .       eth_speci.pdf
.       .       eth_design_document.pdf
.       .       Ethernet Datasheet (prl.).pdf
.       .       src
.       .       .       eth_speci.doc
.       .       .       eth_design_document.doc
.       .       .       Ethernet Datasheet (prl.).doc
.       bench
.       .       verilog
.       .       .       tb_ethernet.v
.       .       .       tb_eth_defines.v
.       .       .       tb_cop.v
.       .       .       eth_host.v
.       .       .       eth_memory.v



2.3     Description of Core Modules

The module eth_top.v consists of sub modules eth_miim.v, eth_registers.v, eth_maccontrol.v, eth_txethmac.v, eth_rxethmac.v, eth_wishbone.v, eth_macstatus.v and some logic for synchronizing, multiplexing and registering outputs. 
 EMBED Visio.Drawing.5  
Figure  SEQ Figure \* ARABIC 2: Core Modules
2.3.1 Description of the MII module (eth_miim.v)               

The MII module (Media Independent Interface) is an interface to the external Ethernet PHY chip. It is used for setting PHYs configuration registers and reading status from it. The interface consists of only two signals: clock (MDC) and bi-directional data signal (MDIO). Bi-directional MDIO signal needs to be combined from input signal Mdi, output signal Mdo, and enable signal MdoEn in additional module. This is done because the same Ethernet core will be implemented in both ASIC and FPGA. 

The MII module is the top module for the MII and consists of several sub modules (eth_clockgen.v, eth_shiftreg.v, eth_outputcontrol.v) and additional logic. This logic is used for generating number of signals:
Synchronized request for write (WriteDataOp), read (ReadStatusOp) and scan (ScanStatusOp) operations. 
Signal for updating the MIIRX_DATA register (UpdateMIIRX_DATAReg)
Counter (BitCounter) is the primary counter for the MII Interface (many operations depend on it.
Byte select signals used when data is shifted out (ByteSelect [3:0]).
Signals used for latching the input data (LatchByte [1:0]).


When there is a need to read or write the data from the PHY chip, several operations need to be performed: 
MIIMODER register needs to be set:
Clock divider needs to be set to provide clock signal Mdc of the appropriate frequency (read PHY documentation to obtain the value of the Mdc frequency)
Preamble generation might be disabled (if PHY supports transmissions without the preamble). By default 32-bit preamble is transmitted.
MII Module might be reset prior to its usage.
PHY Address (several PHY chips might be connected to the MII interface) and address of the register within the selected PHY chip need to be set in the MIIADDRESS register. 
If there is a need to write data to the selected register, data needs to be written to the MIITX_DATA register.
Writing appropriate value to the MIICOMMAND register starts requested operation. 
If Read status or Scan status operation were requested than the value that was received from the PHY can be read from the MIIRX_DATA register.

MIISTATUS register reflects the status of the MII module. The LinkFail status is cleared only after the read to the PHYs status register (address 0x1) returns status that is OK. 



2.3.2.1 Description of the eth_outputcontrol module

This module performs two tasks: 
Generates MII serial output signal (Mdo)
Generates enable signal (MdoEn) for the Mdo. 

Since the MII serial data signal is a bi-directional signal, these two signals need to be combined together with the MII serial input signal (Mdi) in additional module that is not part of the Ethernet MAC IP Core.  

The eth_outputcontrol module also generates the MII preamble. When MII preamble is enabled (bit 8 in the MIIMODER register set to 0), 32-bit preamble is transmitted prior to the data. 


2.3.2.2 Description of the eth_clockgen module

The eth_clockgen module is used for: 
Generating MII clock signal (Mdc). This is output clock signal used for clocking the MII interface of the Ethernet PHY chip. You should read the specification for the used PHY chip to properly set the Mdc frequency (usually frequencies up to 10 MHz can be used)
Generating MdcEn signal. This signal is an enable signal. All flip-flops used in the MII are clocked with the high frequency clock Clk. The reduced frequency (equal to Mdc) is obtained by using the MdcEn signal. 

Mdc is obtained by dividing the Clk signal with the value that is written in the MIIMODER register (any value within range [1:255]).


2.3.2.3 Description of the eth_shiftreg module

The eth_shiftreg module is used for: 
Serialize the data that goes towards Ethernet PHY chip (Mdo)
Parallelize input data that comes from Ethernet PHY chip (Mdi) and temporally store it to the Prsd register. This value is then stored to the MIIRX_DATA register. 
Generating LinkFail signal (bit 0 of the MIISTATUS register reflects its value).



2.3.2 Description of the Receive module (eth_rxethmac.v)

The Receive module is in charge for receiving data. External PHY chip receives serial data from the physical layer (cable), assembles it to nibbles and sends to the receive module (MRxD [3:0]) together with the data valid marker (MRxDV). The receive module then assembles this data nibbles to data bytes, and sends them to the WISHBONE interface module together with few signals that mark start and end of the data. Receive module also removes the preamble and the CRC.

The Receive module consists of four sub modules:
eth_crc  Cyclic Redundancy Check (CRC) module
eth_rxaddrcheck  Address recognition module
eth_rxcounters  Various counters needed for packet reception
eth_rxstatem  State machine for Receive module

Besides the above sub modules, eth_rxethmac module also consists of logic that is used for:
Generating CrcHash value and CrcHashGood marker that are used in address recognition system.
Latching the data that is received from the PHY chip (RxData).
Generating Broadcast and Multicast marker (when packets with broadcast or multicast destination address are received).
Generating RxValid, RxStartFrm, RxEndFrm signals that are marking valid data. 

Receiver can operate in various modes. For that reason number of registers need to be configured prior to Receivers use. 

Signals related to the receiver operation are:
HugEn  Reception of big packets is enabled (packets, bigger than the standard Ethernet packets). When HugEn is disabled, packets that smaller or equal to MaxFL and bigger or equal to MinFL are received. (MaxFL and MinFL are set in the PACKETLEN register).
DlyCrcEn  Delayed CRC (Cyclic Redundancy Check) is enabled. CRC checking starts 4 bytes after the data becomes valid. This option is useful when additional data is added to the data frame.  
r_IFG  Minimum Inter Frame Gap Enable. When this signal is set to zero, minimum inter frame gap is required between two packets. After this time receiver starts with reception again. When r_IFG is set to 1, no inter packet gap is needed. All frames are received regardless to the IFG. 
r_Pro, r_Bro, r_Iam and registers MAC, HASH0 and HASH1 are used for address recognition. 


2.3.2.1 Description of the CRC (Cyclic Redundancy Check) module (eth_crc.v) 

This module is used for validating the correctness of the incoming packet by checking the CRC value of the packet. CRC module is also used for the CRC generation for the TX module.

To better understand the CRC checking, here is a brief description how CRC is send and checked. 
Before a transmitter sends the data, it appends the CRC (this CRC is calculated from the data) to it. This means that the packet is now bigger for 4 bytes. Receiver receives this data (that also includes the CRC of the data) and calculates a new CRC value from it (received CRC is also used for the CRC calculation). If the new CRC differs from the CRC Magic Number (0xc704dd7b), then received data differs from the sent data and CrcError signal is set. 


2.3.2.2 Description of the address recognition module (eth_rxaddrcheck.v) 

The address recognition module decides whether the packet will be received or not. Ethernet IP core starts receiving all packets regardless to their destination address. Destination address is then checked in the eth_rxaddrcheck sub module. Frame reception depends on few conditions: 
If r_Pro bit is set in the MODER register (Promiscuous mode), then all frames are received regardless to their destination address. If r_Pro bit is cleared then destination address is checked.
If r_Bro bit is set in the MODER register then all frames containing broadcast addresses are rejected (r_Pro must be cleared).
MAC  MAC address of the used Ethernet MAC IP Core. This is individual address of the used Ethernet core.  When r_Pro bit is cleared then every destination address is compared to the MAC address. Frame is accepted only when two address match. 
When r_Iam signal is set then besides checking the MAC address, hash table algorithm is used. The Ethernet controller maps any 48-bit address into one of 64 bits. If that bit is set in the HASH registers (HASH0 and r_HASH1 are making one 64-bit hash register), then frame is accepted. 

As said before, packet reception always starts regardless of the destination address of the incoming packet. As soon as the destination address is received, it is checked if it matches with any of the above-mentioned conditions. If the match doesnt occur than the reception of the whole packet is aborted (signal RxAbort is set to 1). The packet is not written to the memory and receive buffer is flushed. 


2.3.2.3 Description of the rxcounters module (eth_rxcounters.v) 

The module consists of three counters, which are:
ByteCnt  generally used counter in the receive module.  
IFGCounter  used for counting the IFG (inter frame gap)
DlyCrcCnt   counter, used when delayed CRC operation is enabled.

Besides that a number of comparators are in this module, used for various purposes. 


2.3.2.4 Description of the rxstatem module (eth_rxstatem.v) 

There is just one state machine used in the receive module of the Ethernet IP core. This module is placed in the eth_rxstatem sub-module. 

The state machine has six different states:
Idle state
Drop state
Preamble state
SFD (standard frame delimiter) state
Data 0 state
Data 1 state

State machine (SM) goes to the drop state (StateDrop) after the reset and immediately after that to the idle state (StateIdle) because MRxDV is set to 0. As soon as there is a valid data available on the PHYs data lines (MRxD), PHY informs receiver about that by setting the MRxDV signal to one. 

Normally receiver expects preamble at the beginning of each packet. Standard preamble is 7 byte long (0xee). After that a one-byte SFD (start frame delimiter) is expected (0xde). If we put this together, then sample 0xdeeeeeee is expected (LSB received first). 

Because the Ethernet IP core can also accept packets that dont have a standard 7-byte preamble but only the SFD, receivers SM waits for the first 0x5 nibble (it is not important whether this nibble is part of the preamble or of the SFD). If the received character differs from the expected nibble, then the SM goes to the preamble state (StatePreamble) and remains there until the correct nibble (0x5) is received. Once the 0x5 nibble is received, SM goes to the SFD state (StateSFD) where it waits for the 0xd nibble. 

From here two things, depending on the value of the IFGCounterEq24 signal, may occur (next paragraph describes IFGCounterEq24 signal). If IFGCounterEq24 is set then:
SM goes to the data0 state (StateData0) where lower data nibble is received and then to the data1 state (StateData1) where higher data nibble is received. SM goes back to the data0 state. SM continues going from data state 0 to data state 1 and vice versa until whole data packet is received and end of packet is detected (PHY clears the MRxDV signal). Once the data valid signal is cleared, SM goes to the idle state (StateIdle) and everything starts again.

else (IFGCounterEq24 is cleared)

SM goes to the drop state (StateDrop) and remains there until the end of valid data is reported (PHY clears the MRxDV signal). After that SM goes to the idle state (StateIdle) and everything starts again.

Signal IFGCounterEq24 is used for detecting the proper gap between two consecutive received frames (Inter Frame Gap). By the standard this gap must be at least 960 ns for 100 Mbps mode or 9600ns for 10 Mbps mode. If the gap is appropriate (equal or greater than requested), then IFGCounterEq24 is set to 1. Signal IFGCounterEq24 is also set to 1, when IFG bit in the MODER register is set (minimum inter frame gap is not checked). If the IFG gap between two frames is too small, frame wont be accepted but dropped. 



2.3.3 Description of the Transmit module (eth_txethmac.v)

The Transmit module (TX) is in charge for transmitting data. TX module gets data that needs to be transmitted from WISHBONE interface (WBI) module in the byte form. Besides that it also receives signals that mark start of the data frame (TxStartFrm) and end of the data frame (TxEndFrm). As soon as the TX module needs next data byte, it sets the TxUsedData and WBI module provides the next byte. 
TX module sets number of signals to inform WBI module on one side and Ethernet PHY chip on the other about the operation status (done, retry, abort, error, etc.).

The Transmit module consists of four sub modules:
eth_crc  Cyclic Redundancy Check (CRC) module generates 32-bit CRC that is appended to the data field. 
eth_random  Generates random delay that is needed when back off is performed (after the collision)
eth_txcounters  Various counters needed for packet transmission
eth_txstatem  State machine for TX module

Signals, connected to the Ethernet PHY chip are:
Data nibble MTxD. This is the data that will be sent on the Ethernet by the PHY.
Transmit enable MTxEn tells PHY that data MTxD is valid and transmission should start.
Transmit error MTxErr tells PHY that an error happened during the transmission. 

Signals, connected to the upper layer module (WBI module) are:
Transmit packet done TxDone (see next paragraph)
Transmit packet retry TxRetry (see next paragraph)
Transmit packet abort TxAbort (see next paragraph)
TxUsedData;

Every transmission ends in one of the following ways:
Transmission is successfully finished. Signal TxDone is set. 
Transmission needs to be repeated. Signal TxRetry is set. This happens when a normal collision occurs (in half-duplex mode). 
Transmission is aborted. Signal TxAbort is set. This happens in the following situations: 
Packet is too big (bigger than the max. packet (See MAXFL field of the PACKETLEN register)).
Underrun occurs (WBI module can not provide data on time).
Excessive deferral occurs (TX state machine remains in the defer state for too long).
Late collision occurs (late collision is every collision that happens later than COLLVALID bytes after the preamble (See COLLCONF register)).
Maximum number of collisions happens (See MAXRET field of the COLLCONF register).

Besides all previously mentioned signals, TX module provides other signals: 
WillTransmit notifies the receiver that transmitter will start transmitting. Receiver stops receiving until WillTransmit is cleared. 
Generating the collision reset signal (collision detected asynchronously comes from the PHY chip and is synchronized to the TX clock signal). ResetCollision signal is used to reset synchronizing flip-flop.
Collision window ColWindow marks a window within every collision is treated as a valid (regular) collision. After a collision packet is retransmitted. Every collision that occurs after that is a late collision (packets with late collision are aborted).
Retry counter RetryCnt.
Data_Crc, Enable_Crc and Initialize_Crc that are used for CRC generation.





2.3.3.1 Description of the CRC (Cyclic Redundancy Check) module (eth_crc.v) 

This module is used for CRC calculation. The calculated CRC is appended to the data frame. This module is also used in the RX module for CRC checking.


2.3.3.2 Description of the random module (eth_random.v)

When a collision occurs, TX module first sends a jam pattern (0x99999999) and then stops transmitting. Before a retransmission starts, TX performs a backoff. TX waits before it starts transmitting for some amount of time. The amount of time is semi random and is calculated in the eth_random module. Binary Exponential algorithm is used for that purpose. Backoff time is random within predefined limits. This limits increase with the number of collisions. 


2.3.3.3 Description of the TX counters module (eth_txcounters.v)

There are three counters in the eth_txcounters module. These counters are only used in the TX modules. 

The DlyCrcCnt counter is used when a delayed CRC generation is needed to count 

The nibble counter NibCnt count nibbles while ByteCnt counts bytes. Which one of the counters is used depends off the needed resolution.


2.3.3.4 Description of the TX state machine module (eth_txstatem.v)

The TX module has one general state machine that is in the eth_txstatem module. This state machine has eleven states: 
StateIdle
StatePreamble
StateData0
StateData1
StatePAD
StateFCS
StateIPG
StateJam
StateJam_q
StateBackOff
StateDefer  

After the reset defer state (StateDefer) is activated. After that the state machine goes to the Inter Packet Gap state (StateIPG) and then to the idle state (StateIdle). Why this is so, is not important at the moment. 

Lets start with the description after the state machine comes to the idle state. This is the most often used state. When transmitter has nothing to do, it waits in the idle mode for the transmission request. Wishbone Interface (WBI) requests the transmission by setting the TxStartFrm signal to 1 for two clock cycles (together with the first byte of the data that needs to be sent). This forces the state machine (SM) to go to the preamble state (StatePreamble). In the preamble state MTxEn signal is set to 1, informing the Ethernet PHY chip that transmission will start. Together with the MTxEn signal, data signal MTxD is set to the preamble value 0x5. After the preamble is sent (0x5555555), SFD is sent (Start Frame Delimiter (0xd)). After that SM goes to the data0 state (StateData0) and signal TxUsedData is set to inform the WBI to provide next data byte. LSB nibble of the data byte is sent and then SM goes to the data1 state (StateData1), where the MSB nibble of the data byte is sent. SM continues to switch between the data0 and data1 states until the end of the packet. When there is just one byte left to be send, WBI sets the signal TxEndFrm that marks the last byte of the data that needs to be sent. 
From here, there are several possibilities:
If the data length is greater or equal to the minimum frame length (value written in the MINFL field of the PACKETLEN register) and CRC is enabled (bit CRCEN in the MODER register is set to 1 or bit CRC of the transmit descriptor is set to 1) then SM goes to the StateFCS state where the 32-bit CRC value, calculated from the data, is appended. Then the SM goes to the defer state (StateDefer), then to the Inter Packet Gap state (StateIPG) and from there to the idle state (StateIdle) where everything starts again. 
If the data length is greater or equal to the minimum frame length (value written in the MINFL field of the PACKETLEN register) and CRC is disabled (bit CRCEN in the MODER register is set to 0 and bit CRC of the transmit descriptor is set to 0) then SM goes to the defer state (StateDefer), then to the Inter Packet Gap state (StateIPG) and from there to the idle state (StateIdle) where everything starts again. 
If the data length is smaller than the minimum frame length (value written in the MINFL field of the PACKETLEN register) and padding is enabled (bit PAD in the MODER register is set to 1 or bit PAD of the transmit descriptor is set to 1), then the SM goes to the pad state (StatePAD) where data is padded with zeros until the minimum frame length is achieved. Then the SM goes to the StateFCS state where the 32-bit CRC value, calculated from the data, is appended. Then the SM goes to the defer state (StateDefer), then to the Inter Packet Gap state (StateIPG) and from there to the idle state (StateIdle) where everything starts again.
If the data length is smaller than the minimum frame length (value written in the MINFL field of the PACKETLEN register), padding is disabled (bit PAD in the MODER register is set to01 and bit PAD of the transmit descriptor is set to 0) and  CRC is enabled (bit CRCEN in the MODER register is set to 1 or bit CRC of the transmit descriptor is set to 1) then the SM goes to the StateFCS state where the 32-bit CRC value, calculated from the data, is appended. Then the SM goes to the defer state (StateDefer), then to the Inter Packet Gap state (StateIPG) and from there to the idle state (StateIdle) where everything starts again.
If the data length is smaller than the minimum frame length (value written in the MINFL field of the PACKETLEN register), padding is disabled (bit PAD in the MODER register is set to01 and bit PAD of the transmit descriptor is set to 0) and  CRC is disabled (bit CRCEN in the MODER register is set to 0 and bit CRC of the transmit descriptor is set to 0) then the SM goes to the defer state (StateDefer), then to the Inter Packet Gap state (StateIPG) and from there to the idle state (StateIdle) where everything starts again.



2.3.4 Description of the Control module (eth_maccontrol.v)

The Control module is in charge for data flow control, when Ethernet IP Core is in the 100Mbps full duplex operating mode. 
Control module consists of multiplexing logic and two sub modules:
eth_transmitcontrol
eth_receivecontrol

Flow control is done by sending and receiving pause control frames. 

When the device that is connected to the WISHBONE interface of Ethernet IP Core (usually a processor) cannot process all those packets that it has received (and is still receiving), it requests a pause from the other station that is sending packets. The pause is requested by sending a pause control frame to the other station (see Ethernet IP Core Specification for details about the control frame). As soon as the other station receives pause request, it stops transmitting. The transmission is restarted after the requested pause time passes or pause request is switched off. The transmit flow control is done in the eth_transmitcontrol module. See description of the eth_transmitcontrol module for more details.

When the Ethernet IP Core receives a pause request, it stops transmitting for the requested time. This is done in the eth_receivecontrol module. See description of the eth_receivecontrol module for more details.

Multiplexing logic is used for multiplexing data and control signal used in normal transmission with data and control signals used for control frame transmission (see signals TxUsedDataOut, TxAbortOut, TxDoneOut, TxEndFrmOut, TxStartFrmOut).

When control frames are sent, padding and CRC generation is automatically switched on (see PadOut and CrcEnOut signals). 



 EMBED Visio.Drawing.5  
Figure  SEQ Figure \* ARABIC 3: Multiplexing Data and Control Signals in Control Module2.3.4.1 Description of the CRC (Cyclic Redundancy Check) module (eth_crc.v) 

This module is used for CRC calculation. The calculated CRC is appended to the
2.3.5 Description of the Status module (eth_macstatus.v)

The Status module is in charge for monitoring the Ethernet MAC operations. Module monitors several conditions and after every completed operation (received or sent frame), it writes a status to the related buffer descriptor. Not all statuses are written to the buffer descriptors. See following sections for more details.

Statuses for received frames are normally latched at the end of the reception stage (when signal TakeSample goes to 1). Soon after that statuses are reset (when  signal LoadRxStatus goes to 1).

2.3.5.1 Rx Error (LatchedMRxErr)

This error notifies that the PHY detected an error while receiving a frame. In this case frame reception is aborted and no error reported. When invalid symbol is accepted, frame is still received and invalid symbol error reported in the Rx BD.

2.3.5.2 Rx CRC Error (LatchedCrcError)

This error notifies that a frame with invalid CRC was received. Such frame is normally received except that the CRC error status is set in the related Rx BD. If received frame is a control frame (pause frame), then pause timer value is not set.

2.3.5.3 Rx Invalid Symbol (InvalidSymbol)

This error notifies that a frame with invalid symbol was received. Invalid symbol is reported by the PHY when it is operating in the 100 Mbps mode (PHY sets data lines to 0xe when symbol error is detected).

2.3.5.4 Rx Late Collision (RxLateCollision)
When a late collision occurs, frame is normally received and late collision reported in the Rx BD. Late collision reflects the abnormal operation on the Ethernet (should never happen). See COLLCONF register in the Ethernet IP Core Specification for more details about the late collision.

2.3.5.5 Rx Short Frame (ShortFrame)
Short frames are normally (by default) aborted. This means that their appearance is not recorded anywhere. However if their reception is enabled (by setting the RECSMALL bit in the MODER register to 1), then the SF bit is set to 1 in the Rx BD when a short frame appears. Minimum length is defined in the PACKETLEN register in the Ethernet IP Core Specification.

2.3.5.6 Rx Big Frames (ReceivedPacketTooBig)
By default the reception of the big frames is switched off. If frame that is bigger than the maximum frame specified in the PACKETLEN register (See the Ethernet IP Core Specification) is received, then frame reception is automatically stopped at the maximum value (no big frame status is written anywhere). If reception of the big frames is enabled (See HUGEN bit in the MODER register in the Ethernet IP Core Specification), then the TL bit is set in the Rx BD when packet bigger then the maximum size is received. 

2.3.5.7 Rx Dribble Nibble (DribbleNibble)
DN bit is set in the Rx BD when an extra nibble is received as a part of the frame (frame is not byte aligned). CRC error occurs at the same time, so both errors are simultaneously reported.

2.3.5.8 Tx Retry Count (RetryCntLatched)
After every frame is transmitted the number of retries is written to the RTRY field of the Tx BD. The retry count gives information about that how many times transmitter retried before successfully transmitting a frame. 

2.3.5.9 Tx Retry Limit (RetryLimit)
When a number of retransmission attempts is bigger then specified in the COLLCONF register (see Ethernet IP Core Specification), frame transmission is aborted and bit RL is set in the Tx BD. 

2.3.5.10 Tx Late Collision (LateCollLatched)
Late collision should never occur. If it occurs during the frame transmission, the transmission is aborted and LC status is written to the associated Tx BD. See COLLVALID field of the COLLCONF register (Ethernet IP Core Specification) for more information on late collision. 

2.3.5.11 Tx Defer (DeferLatched)
When frame was deferred before being sent successfully (i.e. the transmitter had to wait for Carrier Sense before sending because the line was busy), the DF bit is set in the associated Tx BD. This is not a collision indication. Collisions are indicated in RTRY. 

2.3.5.12 Tx Carrier Sense Lost (CarrierSenseLost)
When Carrier Sense is lost during a frame transmission, bit CS is set in the associated Tx BD. Status is written after the frame is sent. 



Following statuses are not part of the Status Module. They are generated in the  module and used in the Tx and Rx BD. 


2.3.5.13 Tx Underrun (UnderRun)
Underrun is detected in the WISHBONE module and reported in the Tx BD after frame transmission is aborted due to the underrun. This means that the host was not able to provide data is being transmitted on time. This is not a normal condition and should never happen. 

2.3.5.14 Rx Overrun (OverRun)
Overrun is detected in the WISHBONE module and reported in the Rx BD. When Overrun status is set, It means that the host was not able to store received data to the memory on time and Rx FIFO overrun happened. Some of the data was lost. 

2.3.5.15 Rx Miss (Miss)
When Ethernet MAC is configured to accept all frames regardless of their destination address (PRO bit is set in the MODER register (see Ethernet IP Core Specification)), MISS bit tells if a received frame contains a valid address or not. 



Additionally following signals are generated in the status module:
ReceivedLengthOK reports when the received frame has a valid length
ReceiveEnd reports the end of the reception. This signal is used in the control module for resetting several flip-flops and setting the pause timer.


2.3.6 Description of the Registers module (eth_registers.v)

Functionality of registers is described in the Ethernet IP Core Specification. 

Although all registers are described as 32-bit registers, only the actually needed width is used. Other bits are fixed to zero (ignored on write and read as zero). Each register is instantiated with two parameters, width and reset value. Reset value defines whether register clears its value to zero or set to some predefined value after the reset. 


 


2.3.6.1 Description of the eth_register module (eth_register.v)

This module contains one single register. The width of the register and its reset value are defined with two parameters: 
WIDTH
RESET_VALUE. 


2.3.7 Description of the WISHBONE interface module (eth_wishbone.v)

Module has multiple functions:
It is the interface between the Ethernet Core and other devices (memory, host). Two WISHBONE interfaces (slave and master) are used for this manner.
Contains buffer descriptors (in the internal RAM).
Contains receive and transmit FIFO.
Contains synchronization logic for signals that spread through different clock domains.
Transmit related function that reads TX BD and then starts WISHBONE master interface, fills the TX FIFO and then starts the transmission. At the end it writes status to the related TX BD.
Receive related function that reads RX BD, assembles incoming bytes to words and then writes them to the RX FIFO. They are then written to the memory through the WISHBONE master interface. At the end it writes status to the related RX BD.



2.3.7.1 WISHBONE Slave Interface

Ethernet registers and buffer descriptors (BD) are all accessed through the same WISHBONE Slave Interface. Registers are located in the eth_registers module, while BDs are saved in the internal RAM within the eth_wishbone module. Selection between registers and BD accesses is done in the eth_top module. This means that all accesses that reach eth_wishbone module are meant for buffer descriptors (See following Buffer Descriptor section for more details). All output signals (from slave WISHBONE interface) can be registered or not. Selection is done with ETH_REGISTERED_OUTPUTS define in the eth_defines.v file. 

2.3.7.2 WISHBONE Master Interface

The Ethernet core uses WISHBONE master interface for accessing the memory space where the buffers (data) are stored. Both, the receiver and the transmitter access data through the same WISHBONE master interface. For this purposes a state machine is build. The state machine multiplexes access from TX and RX modules (See MasterWbTX and MasterWbRX signals). Following signals are used in the state machine: 
MasterWbTX
MasterWbRX
ReadTxDataFromMemory_2
WriteRxDataToMemory
MasterAccessFinished
cyc_cleared
tx_burst
rx_burst


When a Receiver receives data from the Ethernet and needs to store it to the memory, it asserts the WriteRxDataToMemory signal. Write access can start immediately or is delayed (depending if another access is already in progress, the type of the previous access and number of requested accesses). MasterWbRX is set to 1 when receiver uses the WISHBONE bus.

When a Transmitter needs to send data, it reads the data from the memory. ReadTxDataFromMemory_2 is asserted when transmitter needs data from the memory. Read access can start immediately or is delayed (depending if another access is already in progress, the type of the previous access and number of requested accesses). MasterWbTX is set to 1 when transmitter uses the WISHBONE bus.

Every WISHBONE access is finished when slave asserts acknowledge or error signal. Both signals are joined together in MasterAccessFinished signal.
After every access, m_wb_cyc_o signal must be cleared to zero because of the traffic COP limitations. When there are two consecutive single accesses performed one after another, state machine goes to the temporary idle state where signal cyc_cleared is set and m_wb_cyc_o cleared to zero. After that a normal read or write operation starts. Both, single accesses and burst accesses are supported. 

Accesses to/from addresses that are not word-aligned are supported.

When transmitter needs to send data that is stored in the memory at non-aligned address, following procedure is used:
Pointer to the TX buffer is stored to three different registers: TxPointerMSB, TxPointerLSB and TxPointerLSB_rst. TxPointerMSB is used for accessing the word-aligned memory. After every WISHBONE access TxPointerMSB is incremented and points to the next word in the memory. TxPointerLSB bits remain unchanged during the whole operation of packet sending. Since word accesses are performed, valid data does not necessarily start at byte 0 (could be byte 0, 1, 2 or 3). TxPointerLSB is used only at the beginning (when accessing the first data word) for proper selection of the start byte (TxData and TxByteCnt signals depend on it). After the read access, TxLength needs to be decremented for the number of the valid bytes (1 to 4). After the first read all bytes are valid so this two bits are reset to zero. For this reason TxPointerLSB_rst is used. This signal is the same as TxPointerLSB except that it resets to zero after the first read access.

When receiver need to store data to the memory at word-unaligned address, the following procedure is used: 
Buffer descriptor pointer is stored to two different registers: RxPointerMSB and RxPointerLSB_rst. Accesses are always performed to word-aligned locations. For that reason the RxPointerMSB with two LSB bits fixed to zero are used. Byte select signals (RxByteSel) are used for solving the alignment problem. (I.e. If RxPointer is 0x1233, then word access to 0x1230 is performed and RxByteSel is set to 0x1). RxPointerLSB_rst signal is used for RxByteSel, RxByteCnt, RxValidBytes and RxDataLatched1 signals generation. RxByteSel is used as byte select signal when writing data to the memory through the wishbone interface. After the first write access, RxPointerLSB_rst is reset to zero and all byte selects (RxByteSel) become valid (only word accesses are performed). 

RxByteCnt counts bytes within the word. It is used for proper latching of the input data, setting the conditions when to write data to the RX FIFO and to mark when the last byte is received through the Ethernet. RxValidBytes marks how many bytes are valid within the last word that is written to the memory. 

Note: Even when not all bytes are valid when writing the last word to the memory, full word is written (invalid bytes are written as zeros). 


2.3.7.3 Tx and Rx Buffer Descriptors

Buffer descriptors are located in the internal RAM at addresses between 0x400 and 0x7ff. Each BD is 8 bytes long (4 bytes for status and 4 bytes for pointer). Access to buffer descriptors is only possible when Ethernet MAC Controller is not in reset (See RST bit in the MODER register). As soon as the READY bit is set in the TX BD (READY bit in the RX BD), descriptor cannot be changed until transmitter clears that bit to zero (receiver). There are totally 128 buffer descriptors that can be used for both, transmit (TX) or receive (RX). Number of TX BD is defined in the TX_BD_NUM register. The rest are used for RX BD. 

Example:
If value 0x32 is written in the TX_BD_NUM register, it means that there are 50 TX BD and 78 RX BD (128-50)). 
Tx BDs are accessible between 0x400 and 0x58c (8 x 0x32 + 0x400 - 4).
Rx BDs are accessible between 0x590 (8 x 0x32 + 0x400) and 0x7fc.

For detailed description of the buffer descriptors, please read the Buffer Descriptors (BD) section of the Ethernet IP Core Specification.

Single port RAM is used for buffer descriptors (smaller). Three devices can access RAM: 
Host through the WISHBONE slave interface
Transmitter
Receiver

Smart access multiplexing is done with a state machine (see generation of the WbEn, RxEn and TxEn signals). Multiplexing depends on the RxEn_needed and TxEn_needed signals. 

RxEn_needed informs the state machine that the receiver needs to access a buffer descriptor in the RAM (needs to write a status (after receiving a frame) to it or needs an empty buffer descriptor to start with the reception). 
After the reset RxBDRead is set to 1 and RxBDReady is set to zero. This means that there is a need to read an empty buffer descriptor from the RAM (signal RxEn_needed is set to 1). A read cycle to the RxBDAddress is started. If a BD that is not mark as empty is read, the same procedure is repeated. As soon as a BD that is marked as empty (bit EMPTY set to 1) is read, a pointer related to the same BD is needed. Another read is performed to the address where pointer is stored (RxBDAddress + RxPointerRead). After that there is no need for receiver to read the BDs and signal RxEn_needed is cleared to zero with RxPointerRead signal. Reception of the frame starts automatically. When a frame is received, signal ShiftEnded is set to 1. This signal clears RxBDReady signal, which then sets RxEn_needed to 1. Status is written to the related receive BD, address is incremented and read to the next BD is started.

TxEn_needed tells to the state machine there is a need that transmitter accesses the buffer descriptors in RAM. Operation of the TX BD is very similar to the operation of the Rx BD. In this case used signals are TxBDRead, TxBDReady, TxPointerRead, TxStatusWrite. 

2.3.7.4 Tx and Rx FIFO

Both, TX and RX sides have FIFO-s. Defines related to the FIFO-s are in the eth_defines.v file:
TX_FIFO_CNT_WIDTH, TX_FIFO_DEPTH, TX_FIFO_DATA_WIDTH for TX FIFO
RX_FIFO_CNT_WIDTH, RX_FIFO_DEPTH, RX_FIFO_DATA_WIDTH for RX FIFO

Currently both FIFO-s are 16-words deep. 

After the TX BD is read (both status and pointer), data is read from the memory through the master Wishbone interface and stored to the TX FIFO. Actual transmission starts as soon as the TX FIFO is full (to keep the possibility of the underruns as low as possible). When there is space for at least one word in the FIFO, another read is performed. 

After the RX BD is read (both status and pointer) and there is some incoming data in the FIFO (at least one word), write to the memory is immediately performed. Reception of the next frame is possible after all data is written to the memory (FIFO is empty). 

2.3.7.5 Synchronization Logic

Typical approach was that at least two flip-flops were used when crossing different clock domains. Those signals that crossed clock domains and were available long time before its actual use were not synchronized. 





Ethernet MAC IP Core Testbench
3.1         Overview
Ethernet MAC IP Core testbench consists of a whole environment for testing Ethernet MAC IP Core, including Ethernet PHY model, WISHBONE bus models with bus monitors and test cases, which use those models to stimulate transactions through the Ethernet. Those transactions are checked in many different modes.

3.2       Testbench File Hierarchy

The hierarchy of modules in the Testbench of the Ethernet MAC IP Core is shown here with file tree. Each file here implements one module in a hierarchy. Source files of the Testbench are in the ethernet\bench\verilog subdirectory. 


tb_ethernet.v
.       eth_phy.v
.       wb_bus_mon.v
.       wb_master_behavioral.v
.       .       wb_master32.v
.       wb_slave_behavioral.v
.       wb_model_defines.v
.       tb_eth_defines.v
.       eth_phy_defines.v


3.2.1 Testbench Module Hierarchy
Module hierarchy is shown in detail in the following picture. Description of modules and their connections is in the chapter 3.3, Description of Testbench Modules.
 EMBED Visio.Drawing.5  
Figure  SEQ Figure \* ARABIC 4: Test Bench Module Hierarchy3.3 Description of Testbench Modules
The module tb_ethernet.v is used as testing environment and it incorporates beside all test submodules, functions and tasks also Unit Under Test (Ethernet MAC IP Core). Description of tasks is covered in chapter Description of Testcases, while all test submodules are described in the following chapters.



3.3.1 Description of Ethernet PHY module

Ethernet PHY module simulates simplified Intel LXT971A PHY chip. 

Ethernet PHY provides two clock signals to the Ethernet MAC Core: transmit clock (mtx_clk_o) and receive clock (mrx_clk_o). Depending on the control bits, TX and RX clock operate at 2.5 MHz for 10 Mbps operation or 25 MHz for 100 Mbps operation (only bit [13] is used for clock frequency setting). TX and RX clock signals are not synchronous. When Ethernet link is not up, RX clock has a random frequency between 2 MHz and 40 MHz. 

PHY has an MIIM interface, which is connected to the Ethernet core. All transactions are monitored and every error/warning reported. Besides that PHY has several registers implemented in it (Control, Status and two Identification registers). 

PHY provides carrier sense and collision signals. Both signals can be set through several tasks.

When transmitting data (PHY is receiving data), PHY controls the protocol (preamble, sfd, writes length and data to its memory).

When PHY sends data to the Ethernet MAC, it can generate various preambles (different length, wrong preamble). It takes data from its memory. Testbench needs to write data to PHYs memory before PHY can start with transmission. 


3.3.2 Description of WB submodules

3.3.2.1 wb_bus_monitor submodule
The module wb_bus_monitor.v monitors the WB Bus and tries to see WB Protocol Errors. There are two point-to-point WB buses:
WB master from Ethernet MAC IP Core that goes to the WB Slave Behavioral unit (for writing and reading data)
WB slave from WB Master Behavioral  unit to the Ethernet MAC IP Core (used for accessing registers and buffer descriptors)

There are also two WB bus monitors, one for each WB bus.

3.3.2.2 wb_master_behavioral submodule
The module wb_master_behavioral.v is used to initiates WB cycles to WB Slave in the Ethernet MAC IP Core. That is controlled by top-level. This module also includes a submodule wb_master32.v, which is used to generate proper WB cycles. The length and type of each cycle is controlled by wb_master_behavioral.v module. This module also incorporates a block of SRAM.


3.3.2.3 wb_slave_behavioral submodule
The module wb_slave_behavioral.v responds to cycles initiated by WB Master in the Ethernet MAC IP Core. When to respond and a type of cycle termination is controlled by top-level. This module also incorporates a block of SRAM.

3.4 Description of Testcases

There are some tasks not used as testcases (e.g. clear_memories, hard_reset, reset_mac, reset_mii), but are significant for proper working of testbench. All Testcases are in tb_ethernet.v file and are sometimes combined with more tasks or are just a part of one task. Some system parameters (wbm_init_waits, wbm_subseq_waits, etc.) are used with all possible combinations while running all testcases (most of testcases are used more than one time). In the following chapters basic descriptions for groups of testcases are presented. Each testcase has its name with a test type meaning. For deeper explanations of testcases see the comments in the tb_ethernet.v file. Testbench also provide log files as a result of all tests into ethernet/sim/rtl_sim/nc_sim/log directory. File eth_tb.log has all testcases results written as SUCCESSFULL or FAIL. Files eth_tb_wb_m_mon.log, eth_tb_wb_s_mon.log, eth_tb_phy.log report any wrong and suspicious activities on both WB buses and PHY signals.


3.4.1 Description of MAC Registers and BD Tests

There are several tests to test the MAC Registers and Buffer Descriptors (test_access_to_mac_reg):

Following test cases are for testing Ethernet MAC internal registers:
Walking 1 with single cycles across MAC registers. 
Test maximum register values and register values after writing inverse reset values and hard reset of the MAC.

Following test cases are for testing Ethernet MAC buffer descriptors:
Walking 1 with single cycles across MAC buffer descriptors. 
Test buffer descriptors. RAM preserves values after hard reset of the MAC and resetting the logic.

3.4.2 Description of MIIM Module Tests

There are several tests for testing MII Management module:
Test clock divider of MII management module with all possible frequencies.
Test various readings from 'real' PHY registers.
Test various writings to 'real' PHY registers (control and non-writable registers) 
Test reset PHY through MII management module 
Test 'walking one' across PHY address (with and without preamble) 
Test 'walking one' across PHY's register address (with and without preamble) 
Test 'walking one' across PHY's data (with and without preamble) 
Test reading from PHY with wrong PHY address (host reading high 'z' data)                                  
Test writing to PHY with wrong PHY address and reading from correct one                                        
Test sliding stop scan command immediately after read request (with and without preamble) 
Test sliding stop scan command immediately after write request (with and without preamble) 
Test BUSY and NVALID status durations during write (with and without preamble) 
Test BUSY and NVALID status durations during write (with and without preamble) 
Test BUSY and NVAILD status durations during scan (with and without preamble) 
Test scan status from PHY with detecting LINKFAIL bit (with and without preamble) 
Test scan status from PHY with sliding LINKFAIL bit (with and without preamble) 
Test sliding stop scan command immediately after scan request (with and without preamble) 
Test sliding stop scan command after 2nd scan (with and without preamble) 


 TIME \@ "MMMM d, yyyy" October 29, 2002    Ethernet IP Core Design Document


PAGE  



PAGE  40
http://www.opencores.org Rev 0.4 Preliminary             




 TIME \@ "MMMM d, yyyy" October 29, 2002    Ethernet IP Core Design Document


PAGE  1

http://www.opencores.org     Rev 0.4 Preliminary             

















 EMBED Visio.Drawing.5  






+-TW`a56789:;TUVWXYZ[\wxƲΨq)5;CJOJQJaJmHnHsH$tH$uj{UmHnHujUmHnHumHnHu0JaJ`mHnHu&j>*B*UmHnHphu0JmHnHuj0JUmHnHu;j;U5\5CJ mHnHu jU6CJ 5CJ4' 
+,-@TUVW`$
f!a$-[^$If!&
 ,ICCCC$If$$Ifl4\3
!c
+,-@TUVW`$
f!a$-[^$If!&
 ,ICCCC$If$$Ifl4\3
!c
       
       
t(&&&&04
t(&&&&04
l`
l`
al,-1:EKEEEE$If$$Ifl\3
!c
al,-1:EKEEEE$If$$Ifl\3
!c
       
       
t(04
t(04
l`
l`
alKEEEEKE$If$$Ifl\3
!c
alKEEEEKE$If$$Ifl\3
!c
       
       
t(04
t(04
l`
l`
alECA$$Ifl\3
!c
alECA$$Ifl\3
!c
       
       
t(04
t(04
l`
l`
al$IfZ       gg        d          
al$IfZ       gg        d          
z
z
$}PE

;=
!
$}PE

;=
!

!

!

!

!

!

!
xyz      
xyz      
&ͳߞߖ|rdPߖ&;CJOJQJaJmHnHsH$tH$ujgUmHnHu0JaJ$mHnHu&j>*B*UmHnHphumHnHu0JmHnHu)5;CJOJQJaJmHnHsH$tH$ujqUmHnHujUmHnHumHnHu0JaJHmHnHuj0JUmHnHu&j>*B*UmHnHphu&'()FGHabcdefghiĸĪĸ֖|ĸnĸ֖Z&j>*B*UmHnHphujSUmHnHu&j>*B*UmHnHphumHnHu&;CJOJQJaJmHnHsH$tH$uj]UmHnHujUmHnHumHnHu0JaJ$mHnHuj0JUmHnHu&j>*B*UmHnHphu0JmHnHu#
/012FGHabcdefghiƱƩƅwƱƩcY0JaJ$mHnHu&j>*B*UmHnHphuj?UmHnHu0JaJHmHnHu&j>*B*UmHnHphumHnHu0JmHnHu)5;CJOJQJaJmHnHsH$tH$uj0JUmHnHujIUmHnHujUmHnHumHnHu0JaJ`mHnHu!
&ͳߞߖ|rdPߖ&;CJOJQJaJmHnHsH$tH$ujgUmHnHu0JaJ$mHnHu&j>*B*UmHnHphumHnHu0JmHnHu)5;CJOJQJaJmHnHsH$tH$ujqUmHnHujUmHnHumHnHu0JaJHmHnHuj0JUmHnHu&j>*B*UmHnHphu&'()FGHabcdefghiĸĪĸ֖|ĸnĸ֖Z&j>*B*UmHnHphujSUmHnHu&j>*B*UmHnHphumHnHu&;CJOJQJaJmHnHsH$tH$uj]UmHnHujUmHnHumHnHu0JaJ$mHnHuj0JUmHnHu&j>*B*UmHnHphu0JmHnHu#
/012FGHabcdefghiƱƩƅwƱƩcY0JaJ$mHnHu&j>*B*UmHnHphuj?UmHnHu0JaJHmHnHu&j>*B*UmHnHphumHnHu0JmHnHu)5;CJOJQJaJmHnHsH$tH$uj0JUmHnHujIUmHnHujUmHnHumHnHu0JaJ`mHnHu!
                  
                    +     ,     -     .     C     D     E     ^     γ쬩sej>*B*Uph#5CJOJQJaJmHnHsH$tH$j+UjU0JaJj>*B*Uph0J
j0JUj0JUmHnHuj5UmHnHujUmHnHumHnHu0JaJ$mHnHu&;CJOJQJaJmHnHsH$tH$u%^     _     `     a     b     c     d     e     f                                                                                                                        
                  
                    +     ,     -     .     C     D     E     ^     γ쬩sej>*B*Uph#5CJOJQJaJmHnHsH$tH$j+UjU0JaJj>*B*Uph0J
j0JUj0JUmHnHuj5UmHnHujUmHnHumHnHu0JaJ$mHnHu&;CJOJQJaJmHnHsH$tH$u%^     _     `     a     b     c     d     e     f                                                                                                                        








+
+
,
,
-
-
.
.
Y
Y
Z
Z
[
[
t
t
u
u
v
v
w
w
x
x
y
y
z
z
ҩғjUj>*B*Uphj
Uj
ҩғjUj>*B*Uphj
Uj
>*B*Uphj
>*B*Uphj
U0JaJj       >*B*Uph0J#5CJOJQJaJmHnHsH$tH$
j0JUjUj!   U6z
U0JaJj       >*B*Uph0J#5CJOJQJaJmHnHsH$tH$
j0JUjUj!   U6z
{
{
|
|
 !"#$%&ABCDHzn0J^JaJ$mHnHu&jj>*B*UmHnHphumHnHu0JmHnHuj0JUmHnHuj
Ujt
>*B*Uph#5CJOJQJaJmHnHsH$tH$jUjU0JaJj~>*B*Uph0J
j0JU'HI\]^wxyz{|}~./ؾ̱챩؁̱zwwizdb0JaJjV>*B*Uph0J
j0JUjUmHnHu&j`>*B*UmHnHphumHnHu0JmHnHuj0JUmHnHujUmHnHujUmHnHumHnHu0J^JaJ$mHnHu&;CJOJQJaJmHnHsH$tH$u%/0IJKMNOPQRmnop#
$
%
>
?
@
B
C
D
E
F
G
b
c
d
e









ӪӔjUj8>*B*UphjUjB>*B*UphjU0JaJjL>*B*Uph0J#5CJOJQJaJmHnHsH$tH$
j0JUjUjU7







45689:;<=XYZ[678:;<=>οΩΓj0JUmHnHujUj>*B*UphjUj$>*B*UphjUjU0JaJj.>*B*Uph0J
j0JU#5CJOJQJaJmHnHsH$tH$2>?Z[\]^_`yz{}~ƾЏ{qcЏjUmHnHu0JaJHmHnHu&j>*B*UmHnHphu)5;CJOJQJaJmHnHsH$tH$ujUmHnHujUmHnHumHnHu0JaJ`mHnHuj0JUmHnHu&j>*B*UmHnHphumHnHu0JmHnHu#
()*,-./01LMNOSTlmnչߗ}qqc\Y0J
j0JUjmUmHnHu0JaJ$mHnHsHu&j>*B*UmHnHphumHnHu0JmHnHujwUmHnHujUmHnHumHnHu&;CJOJQJaJmHnHsH$tH$u0JaJ$mHnHuj0JUmHnHu&j>*B*UmHnHphu"/V$[]m~eOQ4
!
 !"#$%&ABCDHzn0J^JaJ$mHnHu&jj>*B*UmHnHphumHnHu0JmHnHuj0JUmHnHuj
Ujt
>*B*Uph#5CJOJQJaJmHnHsH$tH$jUjU0JaJj~>*B*Uph0J
j0JU'HI\]^wxyz{|}~./ؾ̱챩؁̱zwwizdb0JaJjV>*B*Uph0J
j0JUjUmHnHu&j`>*B*UmHnHphumHnHu0JmHnHuj0JUmHnHujUmHnHujUmHnHumHnHu0J^JaJ$mHnHu&;CJOJQJaJmHnHsH$tH$u%/0IJKMNOPQRmnop#
$
%
>
?
@
B
C
D
E
F
G
b
c
d
e









ӪӔjUj8>*B*UphjUjB>*B*UphjU0JaJjL>*B*Uph0J#5CJOJQJaJmHnHsH$tH$
j0JUjUjU7







45689:;<=XYZ[678:;<=>οΩΓj0JUmHnHujUj>*B*UphjUj$>*B*UphjUjU0JaJj.>*B*Uph0J
j0JU#5CJOJQJaJmHnHsH$tH$2>?Z[\]^_`yz{}~ƾЏ{qcЏjUmHnHu0JaJHmHnHu&j>*B*UmHnHphu)5;CJOJQJaJmHnHsH$tH$ujUmHnHujUmHnHumHnHu0JaJ`mHnHuj0JUmHnHu&j>*B*UmHnHphumHnHu0JmHnHu#
()*,-./01LMNOSTlmnչߗ}qqc\Y0J
j0JUjmUmHnHu0JaJ$mHnHsHu&j>*B*UmHnHphumHnHu0JmHnHujwUmHnHujUmHnHumHnHu&;CJOJQJaJmHnHsH$tH$u0JaJ$mHnHuj0JUmHnHu&j>*B*UmHnHphu"/V$[]m~eOQ4
!

!

!

!

!

!

!

456OPQSTUV辱{o{ao{oM&;CJOJQJaJmHnHsH$tH$ujYUmHnHujUmHnHumHnHu0J^JaJ$mHnHu&j>*B*UmHnHphumHnHu0JmHnHuj0JUmHnHu#5CJOJQJaJmHnHsH$tH$jcUjU0J^JaJ
j0JUj>*B*Uph0JVWXstuv!"#$%&ABCD`ާxl0J^JaJ$mHnHu&j>*B*UmHnHphumHnHu0JmHnHuj0JUmHnHujEUj>*B*Uph#5CJOJQJaJmHnHsH$tH$jOUjU0J^JaJj>*B*Uph0J
j0JU'`ab{|}9:;TUVXYѽ{mej'!Uj >*B*Uph#5CJOJQJaJmHnHsH$tH$j1 UjU0J^JaJj>*B*Uph0J
j0JU&;CJOJQJaJmHnHsH$tH$uj0JUmHnHuj;UmHnHujUmHnHumHnHu'YZ[\    

456OPQSTUV辱{o{ao{oM&;CJOJQJaJmHnHsH$tH$ujYUmHnHujUmHnHumHnHu0J^JaJ$mHnHu&j>*B*UmHnHphumHnHu0JmHnHuj0JUmHnHu#5CJOJQJaJmHnHsH$tH$jcUjU0J^JaJ
j0JUj>*B*Uph0JVWXstuv!"#$%&ABCD`ާxl0J^JaJ$mHnHu&j>*B*UmHnHphumHnHu0JmHnHuj0JUmHnHujEUj>*B*Uph#5CJOJQJaJmHnHsH$tH$jOUjU0J^JaJj>*B*Uph0J
j0JU'`ab{|}9:;TUVXYѽ{mej'!Uj >*B*Uph#5CJOJQJaJmHnHsH$tH$j1 UjU0J^JaJj>*B*Uph0J
j0JU&;CJOJQJaJmHnHsH$tH$uj0JUmHnHuj;UmHnHujUmHnHumHnHu'YZ[\    

+,-.DEF_xd&j">*B*UmHnHphuaJmHnHsH$tH$uj"UmHnHujUmHnHumHnHu&j!>*B*UmHnHphumHnHu0JmHnHuj0JUmHnHu	jUj;UmH     nH     u#5CJOJQJaJmHnHsH$tH$
j0JU!_`abcdefg-./HIJLMNOPѽѽޕѽѽsn    jUj$UmHnHu&j$>*B*UmHnHphuj     $UmHnHu&j#>*B*UmHnHphumHnHu0JmHnHuaJmHnHsH$tH$uj0JUmHnHumHnHujUmHnHuj#UmHnHu(QR_xJw\p-

+,-.DEF_xd&j">*B*UmHnHphuaJmHnHsH$tH$uj"UmHnHujUmHnHumHnHu&j!>*B*UmHnHphumHnHu0JmHnHuj0JUmHnHu	jUj;UmH     nH     u#5CJOJQJaJmHnHsH$tH$
j0JU!_`abcdefg-./HIJLMNOPѽѽޕѽѽsn    jUj$UmHnHu&j$>*B*UmHnHphuj     $UmHnHu&j#>*B*UmHnHphumHnHu0JmHnHuaJmHnHsH$tH$uj0JUmHnHumHnHujUmHnHuj#UmHnHu(QR_xJw\p-
&FgC$`CEƀc2345`HT$%^ a   V!Y!!!>"?""""""$-$%%%&%&t&&&'￰       jz%U%B*OJQJaJmH$nH$phsH$tH$B*OJQJaJnH$phtH$B*OJQJaJnH$phtH$&5OJQJ\^JaJmH$nH$sH$tH$mHnHu jU jCJUmHnHsH$tH$u OJQJ^JaJmH$nH$sH$tH$4N>ta] ^  V!!>"
&FgC$`CEƀc2345`HT$%^ a   V!Y!!!>"?""""""$-$%%%&%&t&&&'￰       jz%U%B*OJQJaJmH$nH$phsH$tH$B*OJQJaJnH$phtH$B*OJQJaJnH$phtH$&5OJQJ\^JaJmH$nH$sH$tH$mHnHu jU jCJUmHnHsH$tH$u OJQJ^JaJmH$nH$sH$tH$4N>ta] ^  V!!>"
&Fe7$8$H$7$8$H$-
&Fe7$8$H$7$8$H$-
&F`
-
&F`
-
&Fh^h`-
&Fh^h`-
&Fg>"?"@"""""""""][YCEƀcDC$Eƀc-
&Fg>"?"@"""""""""][YCEƀcDC$Eƀc-
&F
-
&F
-
&Fh^h`7$8$H$
&Fh^h`7$8$H$
"$$-$%%%&&%&s&t&&&&'Y'Z'n'''':(;(T(%)&)$7$8$H$a$&$a$'Z'n''';(T())#)')+,//////0000$0&04060D0F0T0V0e00000000000001122;2?2222222u3333ǹmHnHu j61U&jV_A
"$$-$%%%&&%&s&t&&&&'Y'Z'n'''':(;(T(%)&)$7$8$H$a$&$a$'Z'n''';(T())#)')+,//////0000$0&04060D0F0T0V0e00000000000001122;2?2222222u3333ǹmHnHu j61U&jV_A
5CJUVmH$nH$sH$tH$        jU5OJQJ\^JmHsH5OJQJ\^J&5OJQJ\^JaJmH$nH$sH$tH$ OJQJ^JaJmH$nH$sH$tH$^JOJQJ^J:&)')0)<)T)p))))))*9*_*****+E+l++++,9,e,,,,,-1-X------'.;.a...../
5CJUVmH$nH$sH$tH$        jU5OJQJ\^JmHsH5OJQJ\^J&5OJQJ\^JaJmH$nH$sH$tH$ OJQJ^JaJmH$nH$sH$tH$^JOJQJ^J:&)')0)<)T)p))))))*9*_*****+E+l++++,9,e,,,,,-1-X------'.;.a...../
&Fk7$8$H$
&Fk7$8$H$
&Fk7$8$H$7$8$H$$7$8$H$a$$
&Fk7$8$H$7$8$H$$7$8$H$a$$
&Fh7$8$H$a$
&Fh7$8$H$a$
&Fh&3334 4)454A4M44444425B5o5~5H6K699:::N:::::::V;Y;;Y<\<<<<==;>>>>>?D?@
&Fh&3334 4)454A4M44444425B5o5~5H6K699:::N:::::::V;Y;;Y<\<<<<==;>>>>>?D?@
@@@@@AAAABBC"C@CNC~CCDD(D4DDDDDDD)E0E2EEGEFFOJQJ\^JOJQJ^JaJmH sH     CJOJQJ5OJQJ\^JOJQJ^JS}88b9c9::::N:O:p::::;;Z<[<\<<<<=h^h
@@@@@AAAABBC"C@CNC~CCDD(D4DDDDDDD)E0E2EEGEFFOJQJ\^JOJQJ^JaJmH sH     CJOJQJ5OJQJ\^JOJQJ^JS}88b9c9::::N:O:p::::;;Z<[<\<<<<=h^h
&Fi$7$8$H$a$
@7$8$H$[$\$7$8$H$
&Fi$7$8$H$a$
@7$8$H$[$\$7$8$H$
&Fk7$8$H$=>>???D?E?k??L@@@@@@@BBBC@C~CCCD,
&Fk7$8$H$=>>???D?E?k??L@@@@@@@BBBC@C~CCCD,
&Fm
&Fm
&Fm&
&Fm&
&Fjh^h
&Fjh^h
&FiDhDDEmEnEEEFGGHSITIUIIIXJYJJLLLLLMN.O&
&FiDhDDEmEnEEEFGGHSITIUIIIXJYJJLLLLLMN.O&
&Fl
&Fl
&FnFG"GGGH
II%I*I/IUIIxKKjLsLLLMMNN-O1O'P,PPPPQ{RRRRSSOSVSSSSSTTZT\TTTUU+V4V>VCVVVVV5Y6Y=YJYYY(Z6ZZZ[
[[[=\F\\\\\-]6]]]k]m^{^^^[_^__`\^J5OJQJ^J5OJQJ\^JOJQJ^JZ.O"P@QAQRRRSSOSSSTTZT[T\TTT%U&URU]UhUwUUU
&FnFG"GGGH
II%I*I/IUIIxKKjLsLLLMMNN-O1O'P,PPPPQ{RRRRSSOSVSSSSSTTZT\TTTUU+V4V>VCVVVVV5Y6Y=YJYYY(Z6ZZZ[
[[[=\F\\\\\-]6]]]k]m^{^^^[_^__`\^J5OJQJ^J5OJQJ\^JOJQJ^JZ.O"P@QAQRRRSSOSSSTTZT[T\TTT%U&URU]UhUwUUU
&Fp,
&Fp,
&Fp*
&Fp*
&Fo
&Fo
&FlUUUVVWWYYZe\f\\\U]V][_\_]_^___'aaaafbb
&FlUUUVVWWYYZe\f\\\U]V][_\_]_^___'aaaafbb
&Fm&
&Fm&
&Fq
&Fq
&Fp``````abfbpbbbcctcxcccccd%ddddde#e8eBeeeeehh3i4iiijjkkkkk.k1k@kbkckhkkMlOllWnnnoofoloooo"p#p/q9qqqqqs
sssss[t_ttuuuuuOJQJ\^J5OJQJ\^JOJQJ^J5OJQJ^JXbc6c7chccdadbddde8eDeEe{ee7fff*ggh`hahh
&Fp``````abfbpbbbcctcxcccccd%ddddde#e8eBeeeeehh3i4iiijjkkkkk.k1k@kbkckhkkMlOllWnnnoofoloooo"p#p/q9qqqqqs
sssss[t_ttuuuuuOJQJ\^J5OJQJ\^JOJQJ^J5OJQJ^JXbc6c7chccdadbddde8eDeEe{ee7fff*ggh`hahh
&Fr
&Fr
&Fr
&Fr
&Fw
&Fw
&Fu,
&Fu,
&Fm
&Fm
&Fmh4ijkkckdkekfkgkhkkkMlNlOlllUnVnWnnnooRoSoo&
&Fmh4ijkkckdkekfkgkhkkkMlNlOlllUnVnWnnnooRoSoo&
&Ft
&Ft
&Fxooo"p#pppppppppppqqqqqvvxz       }h^h
&Fxooo"p#pppppppppppqqqqqvvxz       }h^h
&Fz
&Fz
&Fyuovwvvvvw:w?wewhwlwswwwww_xixxxxxxxxyByGymypyty|yyyy	z2z:z^zgzzzzzzz{{{{D{F{{{	||||||||}}}&}[}`}}}}}}}}}~	~7~9~~~~,4Xa5OJQJ^JOJQJ^J_;>tw{
&Fyuovwvvvvw:w?wewhwlwswwwww_xixxxxxxxxyByGymypyty|yyyy	z2z:z^zgzzzzzzz{{{{D{F{{{	||||||||}}}&}[}`}}}}}}}}}~	~7~9~~~~,4Xa5OJQJ^JOJQJ^J_;>tw{
=EirсÃȃk~ʅBTP+,-.67MNOP׈&`g~ƍÎt“ϓѓپ^JmHnHu jrJUj7hA
=EirсÃȃk~ʅBTP+,-.67MNOP׈&`g~ƍÎt“ϓѓپ^JmHnHu jrJUj7hA
UVmH$nH$sH$tH$        jUOJQJ\^J5OJQJ\^JOJQJ^J5OJQJ^JJсҁN˅̅/׈؈7$8$H$
UVmH$nH$sH$tH$        jUOJQJ\^J5OJQJ\^JOJQJ^J5OJQJ^JJсҁN˅̅/׈؈7$8$H$
&F{&؈'`afg}~ƍǍÎst7$8$H$&ѓ|} !"BNOm7$8$H$ѓ}җޗ       "8@BOm[suF(Jkqϩ
&F{&؈'`afg}~ƍǍÎst7$8$H$&ѓ|} !"BNOm7$8$H$ѓ}җޗ       "8@BOm[suF(Jkqϩ
ˬíϭڭ`lnz=F#1BK"x~-5OJQJ\^J^JmHsHmHsH^JOJQJ^JXmZ[sbcdeopqstu0&  ,^`,
ˬíϭڭ`lnz=F#1BK"x~-5OJQJ\^J^JmHsHmHsH^JOJQJ^JXmZ[sbcdeopqstu0&  ,^`,
&F
&F
&F7$8$H$06DEF?r'(JK,
&F7$8$H$06DEF?r'(JK,
&F       ,h^h`,
&F       ,h^h`,
&F&
&F&
&F,
&F,
&F#8DMVWX?@ӬcdԲղAABwx       ,^`,,
&F#8DMVWX?@ӬcdԲղAABwx       ,^`,,
&F-.\*+üļrsV       
&F-.\*+üļrsV       
&F,
&F,
&F       ,^`-LW\gfn*6ADQ *yt޻ޡmHnHu    j
fUj'/iA
&F       ,^`-LW\gfn*6ADQ *yt޻ޡmHnHu    j
fUj'/iA
UV        jU^J"5OJQJ\^JmH$nH$sH$tH$OJQJ^JmH$nH$sH$tH$&5OJQJ\^JaJmH$nH$sH$tH$ OJQJ^JaJmH$nH$sH$tH$5OJQJ\^JOJQJ^J8   ituxypqrstuCEƀc
UV        jU^J"5OJQJ\^JmH$nH$sH$tH$OJQJ^JmH$nH$sH$tH$&5OJQJ\^JaJmH$nH$sH$tH$ OJQJ^JaJmH$nH$sH$tH$5OJQJ\^JOJQJ^J8   ituxypqrstuCEƀc
&F4Rp897$8$H$&7$8$H$;d<=^iy$/ECY*t^&b+,STZ[\_`οߣߜߜߜ0J
j0JU	jUH*OJQJ^JOJQJ^J5OJQJ\^J"5OJQJ\^JmH$nH$sH$tH$OJQJ^JmH$nH$sH$tH$ OJQJ^JaJmHnH$sHtH$OJQJ^JaJnH$tH$ OJQJ^JaJmH$nH$sH$tH$^J49:;deXYLM01<=^G$
&F4Rp897$8$H$&7$8$H$;d<=^iy$/ECY*t^&b+,STZ[\_`οߣߜߜߜ0J
j0JU	jUH*OJQJ^JOJQJ^J5OJQJ\^J"5OJQJ\^JmH$nH$sH$tH$OJQJ^JmH$nH$sH$tH$ OJQJ^JaJmHnH$sHtH$OJQJ^JaJnH$tH$ OJQJ^JaJmH$nH$sH$tH$^J49:;deXYLM01<=^G$
&F7$8$H$$-.t^&'       ,^`,
&F7$8$H$$-.t^&'       ,^`,
&F7$8$H$&'b2`3jfYQRS\&`#$       ,^`,
&F7$8$H$&'b2`3jfYQRS\&`#$       ,^`,
&F\]^_k+,-./012       h]h^&`#$^&((&#$h$dN]hh]h`fgijk()*<=UVWX^_OJQJ^J    jeuU#j5A
&F\]^_k+,-./012       h]h^&`#$^&((&#$h$dN]hh]h`fgijk()*<=UVWX^_OJQJ^J    jeuU#j5A
CJUVmH$nH$sH$tH$    jU       B*ph       B*ph0JmHnHu
j0JU0J$23456789:;<YZ[\]^_    ,^`<
CJUVmH$nH$sH$tH$    jU       B*ph       B*ph0JmHnHu
j0JU0J$23456789:;<YZ[\]^_    ,^`<
000&PP/R / =!"#$%X01   0
000&PP/R / =!"#$%X01   0
00/R / =!"#$%v2
00/R / =!"#$%v2
00P/R / =!"#$%v/0/R = /!"#$%u(/R / =!"#$%v/0/R = /!"#$%u(/R / =!"#$%v3&P	0/R A .!"#$%u(/R / =!"#$%v`!;(w(ZG\(&iYU~   xݝtTչ9̱i^Ms4WhY!MTQJkZs_M4cG?SM֦r`
00P/R / =!"#$%v/0/R = /!"#$%u(/R / =!"#$%v/0/R = /!"#$%u(/R / =!"#$%v3&P	0/R A .!"#$%u(/R / =!"#$%v`!;(w(ZG\(&iYU~   xݝtTչ9̱i^Ms4WhY!MTQJkZs_M4cG?SM֦r`
mX[i2k6+4X[-"56ONi}QiϿphZ-1?VfkrrJLJ|.S"FrL|l,cQk
mX[i2k6+4X[-"56ONi}QiϿphZ-1?VfkrrJLJ|.S"FrL|l,cQk
E)yܹs7
]hRsX[?sjE4L_&e]r(w4L.79N/n92
V9%gI2gtⳮkF6h+bSlDhrSl痽ȮM;snLVMI=vO87pю/j_}H̺
E)yܹs7
]hRsX[?sjE4L_&e]r(w4L.79N/n92
V9%gI2gtⳮkF6h+bSlDhrSl痽ȮM;snLVMI=vO87pю/j_}H̺
ωV&RڒӍ$R~h|/ffO&|3O{((3Ebz6OdC#bzDg)1k@GŞ&,}Zm{.K]僢T}(>jѦB5ҍYy\dz,cU&,/Zmݟ'~XzhųmtG]k(1Ƹ*&7jѓyYh Q6;qEmsh,pj,mKF6b}(^S&K@-|3b7A-_
.Ѭnϊ,y"ӣx4}:o>&S7M/d#AWoY{d9}9ȳe=J1@9!{AoA?}RRÔ\<'KO])cb!9dgT1#>Yf=ăWjzV̸HVWAkk~GO$֡a!=!OHH죅d'WngF_
z.\۠iB|/MmbiOdKo6]-rϧY'ⴋgM֦jwhɹb_KѝF۬8Gwb,Oٳa=OgeȲ,ܓeo#d=q:lﳺ9w߰>-]tdXlòa}K'=k*~26Hv?]{z(]ߛq?&=r=3#>Y~Qebзc3;ns2vt~ck.~\/סo;/K[b~Sz-בo n"inՇmV?P#ɏ'?|1+/%*ko$.{$    vVߟO!7g/#r+ɯ!;!aɟ%sX}%?h&|%jW_Gv#Qɻ>pP#ɏ'?|1+/%*ko$.{$ v\> ?|8S$?|%䗓_I~

䷐I~?N,yy24#?|>B/$_I|-בo n"i>4'?HO%_L~>
ωV&RڒӍ$R~h|/ffO&|3O{((3Ebz6OdC#bzDg)1k@GŞ&,}Zm{.K]僢T}(>jѦB5ҍYy\dz,cU&,/Zmݟ'~XzhųmtG]k(1Ƹ*&7jѓyYh Q6;qEmsh,pj,mKF6b}(^S&K@-|3b7A-_
.Ѭnϊ,y"ӣx4}:o>&S7M/d#AWoY{d9}9ȳe=J1@9!{AoA?}RRÔ\<'KO])cb!9dgT1#>Yf=ăWjzV̸HVWAkk~GO$֡a!=!OHH죅d'WngF_
z.\۠iB|/MmbiOdKo6]-rϧY'ⴋgM֦jwhɹb_KѝF۬8Gwb,Oٳa=OgeȲ,ܓeo#d=q:lﳺ9w߰>-]tdXlòa}K'=k*~26Hv?]{z(]ߛq?&=r=3#>Y~Qebзc3;ns2vt~ck.~\/סo;/K[b~Sz-בo n"inՇmV?P#ɏ'?|1+/%*ko$.{$    vVߟO!7g/#r+ɯ!;!aɟ%sX}%?h&|%jW_Gv#Qɻ>pP#ɏ'?|1+/%*ko$.{$ v\> ?|8S$?|%䗓_I~

䷐I~?N,yy24#?|>B/$_I|-בo n"i>4'?HO%_L~>
Kɯ Zo%^!CC@SQW۬*_G&򷑟D~?J~1gȿ`Կq]l?}qK-NLy~i
d;>lwu!g!K>|"Qאdɭ6=[}mm/٦to-~̭j5>|\y/'_E|̨'Kn=s[;ZmՎl?pޞ[q5.kn}6/"%_N|
QOscʭͮV[c͹MhԕmOqX}?ɏ ?d3!_jԓ!J.E[v=JN{c1.{{n9UJ^٧8GK~2/5ɒ[Ӝzn}˩rkQ,q|){{n5J^٧8GK~2/5ɒ[kznwZnr4Krk-Y7J^٧8GK~2/5ɒ[1ec>VM>|.<Gɗ"_C>F|=F[ȷo'/F=ƉW-F1N|ŞVs'{:8_#}ShԕM~!Jkɯ&|wGQO@e_^Kigf/{:mzUU&C>|EW!#_G|#fq-ȷ	a,Wz^}5Pz~ӽ=קXs_͹os#_@||9*5cדo$L>N|v2!>`ԓ%/ST;س>eZs_͹os#_@||9*5cדo$L>N|v2!>`ԓ%s=FPN/
Ys_͹os#_@||9*5cדo$L>N|v2!>`ԓ%CzׄT=!&${4뱤%~!Jkɯ&|wGQOcqi4u,9.-⛕wQHu*g!K>|"Qא#_O|38m˄0QOUzt^*IzJӽ=dXs_͹os#_@||9*5cדo$L>N|v2!>`ԓ%zߚrߑQܚ!{{2/7l9s/ _D>J|1u7o&'B|;yf0ɒ5rpez=3tobMF]
Kɯ Zo%^!CC@SQW۬*_G&򷑟D~?J~1gȿ`Կq]l?}qK-NLy~i
d;>lwu!g!K>|"Qאdɭ6=[}mm/٦to-~̭j5>|\y/'_E|̨'Kn=s[;ZmՎl?pޞ[q5.kn}6/"%_N|
QOscʭͮV[c͹MhԕmOqX}?ɏ ?d3!_jԓ!J.E[v=JN{c1.{{n9UJ^٧8GK~2/5ɒ[Ӝzn}˩rkQ,q|){{n5J^٧8GK~2/5ɒ[kznwZnr4Krk-Y7J^٧8GK~2/5ɒ[1ec>VM>|.<Gɗ"_C>F|=F[ȷo'/F=ƉW-F1N|ŞVs'{:8_#}ShԕM~!Jkɯ&|wGQO@e_^Kigf/{:mzUU&C>|EW!#_G|#fq-ȷ	a,Wz^}5Pz~ӽ=קXs_͹os#_@||9*5cדo$L>N|v2!>`ԓ%/ST;س>eZs_͹os#_@||9*5cדo$L>N|v2!>`ԓ%s=FPN/
Ys_͹os#_@||9*5cדo$L>N|v2!>`ԓ%CzׄT=!&${4뱤%~!Jkɯ&|wGQOcqi4u,9.-⛕wQHu*g!K>|"Qא#_O|38m˄0QOUzt^*IzJӽ=dXs_͹os#_@||9*5cדo$L>N|v2!>`ԓ%zߚrߑQܚ!{{2/7l9s/ _D>J|1u7o&'B|;yf0ɒ5rpez=3tobMF]
Kɯ Zo%^!=`'?|-F=rwC7>4CO&^g/'S+;V@^' Z54
Y[$'nYvr52ߑ_iiN
BvwE~].UnMo#?4bϐɿFg{:+է2X}W_E~-䷒E~/䏐?AJ]WoZfY/ZlW&_K~5uo'>%i:Y)gKɯ$[$'oY~0/$?Bג_M~&!Gɟ&X}8`%?xSOR+ȯ"F["AGȟ oO`'?|8S$?|%䗓_I~

䷐I~?N,y_?aG'_H~6+W
mֶT2j'Ow>l9s/ _D>J|1u7o&'B|;y-iVߗ䇓CN?H%"Wȯ'oO1g{VAo$?#_Fy#c?%%>Oȟ"LN|\y/'_E||zɷo#N^ˠA䇓CN?H%"Wȯ'oO1g{2{6_wXoڦ 6[#'3Ҭ~ɏ"?={+=d̴̲^f7o!F@pcWj[K~&?N)ϑ.A}3xiIV?S2=P~٦ߝ|ܖx`\=\WnӅѹ#|4o<7Fob>2OaŸ_8>s.tpjy^ۤħϝW#Fi/">Pnb/6i_|Ѽҋy:YǻVYc@LԲ6SmjRLY.=/:>Ok^KbQvVZN]eD뢏=dj݅[eOfkI}T)s'Z}hug*VK}	OO~6:yWQ6oo$²ٽ},a=Y9r^ł^4"Yov%Lgwjxv#,^mogwv@c.Rc=K^q]{/߈x'nLF2\#>'#q#sT[}#aN%T}5:`;
Kɯ Zo%^!=`'?|-F=rwC7>4CO&^g/'S+;V@^' Z54
Y[$'nYvr52ߑ_iiN
BvwE~].UnMo#?4bϐɿFg{:+է2X}W_E~-䷒E~/䏐?AJ]WoZfY/ZlW&_K~5uo'>%i:Y)gKɯ$[$'oY~0/$?Bג_M~&!Gɟ&X}8`%?xSOR+ȯ"F["AGȟ oO`'?|8S$?|%䗓_I~

䷐I~?N,y_?aG'_H~6+W
mֶT2j'Ow>l9s/ _D>J|1u7o&'B|;y-iVߗ䇓CN?H%"Wȯ'oO1g{VAo$?#_Fy#c?%%>Oȟ"LN|\y/'_E||zɷo#N^ˠA䇓CN?H%"Wȯ'oO1g{2{6_wXoڦ 6[#'3Ҭ~ɏ"?={+=d̴̲^f7o!F@pcWj[K~&?N)ϑ.A}3xiIV?S2=P~٦ߝ|ܖx`\=\WnӅѹ#|4o<7Fob>2OaŸ_8>s.tpjy^ۤħϝW#Fi/">Pnb/6i_|Ѽҋy:YǻVYc@LԲ6SmjRLY.=/:>Ok^KbQvVZN]eD뢏=dj݅[eOfkI}T)s'Z}hug*VK}	OO~6:yWQ6oo$²ٽ},a=Y9r^ł^4"Yov%Lgwjxv#,^mogwv@c.Rc=K^q]{/߈x'nLF2\#>'#q#sT[}#aN%T}5:`;
vmS,lSlP`[
vmS,lSlP`[
lZ`[v;vݮXЮX!`q`SLع`e`K[lN=`;s(PZa`
lZ`[v;vݮXЮX!`q`SLع`e`K[lN=`;s(PZa`
f-[
l;n}`s;Nd"P`[
f-[
l;n}`s;Nd"P`[
lZ`[v;v݅Lt!EƁM  6l   r`k6m    a`g|n6l4X>X!l````ց5m
Q`>ȿ>?`#ƃM+Vl*`v    0A
4M3@ߦU6Ů       6I`{l13`/.|B\64CpvNbGoovfӦXȦX6XX.XXXX
lZ`[v;v݅Lt!EƁM  6l   r`k6m    a`g|n6l4X>X!l````ց5m
Q`>ȿ>?`#ƃM+Vl*`v    0A
4M3@ߦU6Ů       6I`{l13`/.|B\64CpvNbGoovfӦXȦX6XX.XXXX
,
y&9b}~UPvXW;rr
,
y&9b}~UPvXW;rr
``U`5`kX#ql.K:++UՀuGw&IXc7֛?S0Cb6lR5ߩ#9b]T.sX9?Lź̩b]6lX`3uGwHX7U*M>SnrX7
6l29`k#~߫b~)߫b6l,d`s#;$GOz_w|һ٩/~?
.2]]e[&.w#֭Sn}[}>(
VV+ՁՃ55Z4bOE$ٯ{;W`*jVkl!5B{rz[@m5v++UՀ`-`m``jltرxr՟STOQ>%,,,,
``U`5`kX#ql.K:++UՀuGw&IXc7֛?S0Cb6lR5ߩ#9b]T.sX9?Lź̩b]6lX`3uGwHX7U*M>SnrX7
6l29`k#~߫b~)߫b6l,d`s#;$GOz_w|һ٩/~?
.2]]e[&.w#֭Sn}[}>(
VV+ՁՃ55Z4bOE$ٯ{;W`*jVkl!5B{rz[@m5v++UՀ`-`m``jltرxr՟STOQ>%,,,,
VVVkk\i%XPg,3TfEjb`u````q6v0՟`wס?KC?KC?KC?KC`9``y``E`Qr*XX=X#X3X
Lgi;џԾʬ42+m6BJjZ`*_NXG؉IWc'
VV+ՁՃ55Z؉wرmr՟2TP9 #,,,,
VVVkk\i%XPg,3TfEjb`u````q6v0՟`wס?KC?KC?KC?KC`9``y``E`Qr*XX=X#X3X
Lgi;џԾʬ42+m6BJjZ`*_NXG؉IWc'
VV+ՁՃ55Z؉wرmr՟2TP9 #,,,,
VVVkkiO_O_O_O_f6XX.XXXX
VVVkkiO_O_O_O_f6XX.XXXX
,VVkkkShrp__~*[l#V]`{;f((lX'$¦.12Vl'`2}OYcp6P`;9v,y%>~|s[:n6
G=^dOGiGl)
,VVkkkShrp__~*[l#V]`{;f((lX'$¦.12Vl'`2}OYcp6P`;9v,y%>~|s[:n6
G=^dOGiGl)
U`k6m `vw8||QhLeg-[
l;n}`w|?1ΘʰO      6l   r`k6m    a`g|~Ų]6l4X>X!l````ց5m
Q`ba6l$x``*[l#V]`{;fǹ`bEƁM       6l   r`k6m    a`g|8D

V6l!X%X5Xm;9RULU|}ON9qn1R,,,,,
U`k6m `vw8||QhLeg-[
l;n}`w|?1ΘʰO      6l   r`k6m    a`g|~Ų]6l4X>X!l````ց5m
Q`ba6l$x``*[l#V]`{;fǹ`bEƁM       6l   r`k6m    a`g|8D

V6l!X%X5Xm;9RULU|}ON9qn1R,,,,,
VVVkkiiv=p1`wM{Ig^{l=&`ok;vV,# FM~G-{{`?)67{S`N#rr
VVVkkiiv=p1`wM{Ig^{l=&`ok;vV,# FM~G-{{`?)67{S`N#rr
``U`5`1:zFf8XXX;ù`׃
v'tJ{EWփm6~Vc`g<ɲ[R7S`ok;ṽsi
l{LX:SgLkkia8z`cL焊^K~Տv7}`=s``?ڕGbi ynRw]SEY2PNG
``U`5`1:zFf8XXX;ù`׃
v'tJ{EWփm6~Vc`g<ɲ[R7S`ok;ṽsi
l{LX:SgLkkia8z`cL焊^K~Տv7}`=s``?ڕGbi ynRw]SEY2PNG



IHDR
LogAMA    pHYs%RyIDATxQLwO[!⇚*exhBVJ:W  X'DT&s‘BEMJ1j%5PQ%ia0J2Hrk(CpV}2g0c{&~3O,7yz7&_{Y

IHDR
LogAMA    pHYs%RyIDATxQLwO[!⇚*exhBVJ:W  X'DT&s‘BEMJ1j%5PQ%ia0J2Hrk(CpV}2g0c{&~3O,7yz7&_{Y
9       @r2$eIʐ!'(CNP9        @r2$eIʐ!'(CNP@V;g>Tm.r9~mqy#įmET S^^
-{'Fp^knߐDj_CBMFoe1PN{x48|`c6ڜ Oһn_O~9Wu;vd{a'į=%z]NlRNG_z(~F{߄C?mm3O|cnG5ߘ[sZK9i?Y$ٻNb.Boz^'I]>)c)c9h9~MK$HHT17n>TQZxU
9       @r2$eIʐ!'(CNP9        @r2$eIʐ!'(CNP@V;g>Tm.r9~mqy#įmET S^^
-{'Fp^knߐDj_CBMFoe1PN{x48|`c6ڜ Oһn_O~9Wu;vd{a'į=%z]NlRNG_z(~F{߄C?mm3O|cnG5ߘ[sZK9i?Y$ٻNb.Boz^'I]>)c)c9h9~MK$HHT17n>TQZxU
SaLyRWUazY^&Ov7l(-q(ofG]>d(#KÝk};T¯o_>g>}C7Ϊe0qEGꦣ! ~mPO>㨩*7V-`z_:WJ}-ӚMk>"A3ۑ@sQ1f;f;s
>\vRr fTzC,IZ\Xqz|ҜDavzgGŨj;x6  F(
SaLyRWUazY^&Ov7l(-q(ofG]>d(#KÝk};T¯o_>g>}C7Ϊe0qEGꦣ! ~mPO>㨩*7V-`z_:WJ}-ӚMk>"A3ۑ@sQ1f;f;s
>\vRr fTzC,IZ\Xqz|ҜDavzgGŨj;x6  F(
;5S\y{d56YyH/vvq?K0N1weD㺐~H*9)]uZjUaeo:mo\ZYZ?̎m[=!9){Ƃ9M6Y霑\LH\N76U
;5S\y{d56YyH/vvq?K0N1weD㺐~H*9)]uZjUaeo:mo\ZYZ?̎m[=!9){Ƃ9M6Y霑\LH\N76U
;29~m_k63SxII8j<`UvdoO_ꜹrPA%$H=>8zdv$B&fryia6k$U]j4KT5TSG$@ND/E#GlΐD}s؊vd/1ӔsyCd^s2yrRYNҥ�h6hl~ub.Q#mYZR840%k
;29~m_k63SxII8j<`UvdoO_ꜹrPA%$H=>8zdv$B&fryia6k$U]j4KT5TSG$@ND/E#GlΐD}s؊vd/1ӔsyCd^s2yrRYNҥ�h6hl~ub.Q#mYZR840%k
]Vr|7%L,Mrn:jٽɧS,פ]n;Gg'S8@VANJԆIW9Uagf)BuUeӶFd_IK(.z#V4db.ۗo6X0t&5ftI_PJjʎ>L%_̺”֒
]Vr|7%L,Mrn:jٽɧS,פ]n;Gg'S8@VANJԆIW9Uagf)BuUeӶFd_IK(.z#V4db.ۗo6X0t&5ftI_PJjʎ>L%_̺”֒
pPOMNjYTC+|̂VK6Dc*>zvp^%S|c.B=        ߾y}hlo?|.'E͟gu*J鏍      FUUe%7*$C=)]d]VH(.3Q+0
pPOMNjYTC+|̂VK6Dc*>zvp^%S|c.B=        ߾y}hlo?|.'E͟gu*J鏍      FUUe%7*$C=)]d]VH(.3Q+0
NWL|a!hloy-Av4?bwvVWR@1$3Y?i1>$U䘈BpIS9M[\`3gɅȗfj  \qv<L2[zd7vK׋R$_"P*9NiȞ|uM.G*LL^/g̻eyKCۏsUUo?jx]mٰd4ɄVYBOSu\B?R?]I^#َ>x2xГj7tX3#QU
NWL|a!hloy-Av4?bwvVWR@1$3Y?i1>$U䘈BpIS9M[\`3gɅȗfj  \qv<L2[zd7vK׋R$_"P*9NiȞ|uM.G*LL^/g̻eyKCۏsUUo?jx]mٰd4ɄVYBOSu\B?R?]I^#َ>x2xГj7tX3#QU
U~>t^
PO!ISA]:uL
.QIEt\P,ɧۗC!w75?hl_),}!dG      9       X!iqy㠥!׀_eITS;.tTo'U[mhcVO2&)zr):̪sݓXap< b,z *)6$Q
m#Iq˫N{
4*i<%3/Vz7O_d"
hܽ*4G*D'G,X/=,U_!俞Ϊ3ǯj85      $*\עAN"̻(CNP9 `4qANJd4͹KGv̎/6X=&d-
U~>t^
PO!ISA]:uL
.QIEt\P,ɧۗC!w75?hl_),}!dG      9       X!iqy㠥!׀_eITS;.tTo'U[mhcVO2&)zr):̪sݓXap< b,z *)6$Q
m#Iq˫N{
4*i<%3/Vz7O_d"
hܽ*4G*D'G,X/=,U_!俞Ϊ3ǯj85      $*\עAN"̻(CNP9 `4qANJd4͹KGv̎/6X=&d-
>0 }ګk/_hQ[tF۫o򌭬EKुzRfGҐD1Ѡe֚c$@VA=)91u?FcGvhZ94$[Ϳ/)"me}*
z]yI!diem݇{`)*0B     %AnҊ =?!럖j#ag9-FcPZ*M3{K7JgQpA،xx!ҥoҫA,^@
!'ix]^KfG݄}B߅VgGy(K}bnt-B>Š׹6:[w]ҦoO]Zcx='s.1m\N8FB?^Ҿ{Zx! ~tWciEpT;!OJ0IHjv+0Fcޱ.!{p}Q،xfwN#HacgKn46qַ"^,&)#.zF}Đ"GK8zVT6#gx@BCdh!\VoD͡Oj!<zn4vR/**0
>0 }ګk/_hQ[tF۫o򌭬EKुzRfGҐD1Ѡe֚c$@VA=)91u?FcGvhZ94$[Ϳ/)"me}*
z]yI!diem݇{`)*0B     %AnҊ =?!럖j#ag9-FcPZ*M3{K7JgQpA،xx!ҥoҫA,^@
!'ix]^KfG݄}B߅VgGy(K}bnt-B>Š׹6:[w]ҦoO]Zcx='s.1m\N8FB?^Ҿ{Zx! ~tWciEpT;!OJ0IHjv+0Fcޱ.!{p}Q،xfwN#HacgKn46qַ"^,&)#.zF}Đ"GK8zVT6#gx@BCdh!\VoD͡Oj!<zn4vR/**0
=K8ɉъTe"{~py:4S0uLH#=΋K'P,ѿҸfuku_i9=mڜPOJT.Ҋ`o̎    %hv6#N{v]UYJ$vPޱ1X"/,V4䙐do",f['!dF\I~@?9/V4$j#W`4:I஋)ig̬ {N$ԓR'CJRiwf6D]>^
=K8ɉъTe"{~py:4S0uLH#=΋K'P,ѿҸfuku_i9=mڜPOJT.Ҋ`o̎    %hv6#N{v]UYJ$vPޱ1X"/,V4䙐do",f['!dF\I~@?9/V4$j#W`4:I஋)ig̬ {N$ԓR'CJRiwf6D]>^
K߳mb3S6nqwΣ.M8p5;+B8t)Lg,&k/OyH-+p_)
K߳mb3S6nqwΣ.M8p5;+B8t)Lg,&k/OyH-+p_)
iIڠݟBVl
iIڠݟBVl
#ꋚ:>tMYW`eZFrT,wfB߅cy        goЪv.Hw[?LyF0?K8F^}az&=tq&]ko,7XkwK،=o,F    x]_=
#ꋚ:>tMYW`eZFrT,wfB߅cy        goЪv.Hw[?LyF0?K8F^}az&=tq&]ko,7XkwK،=o,F    x]_=
ރ=kkfG?k7XF82II7zf\~Wd`bs_?5%A}eĴ$J_z}T
zN|P6pFj'%:{
ރ=kkfG?k7XF82II7zf\~Wd`bs_?5%A}eĴ$J_z}T
zN|P6pFj'%:{
u9=G,6,hlie}iE$|ygK5$B4$I|
(ݲbGq#obnOλ>{?|]`b
u9=G,6,hlie}iE$|ygK5$B4$I|
(ݲbGq#obnOλ>{?|]`b
tA4>ɐ+dQh*suIk}MfSAcD{8[ј͚m#޷ /}    X/f[1@6@72$eIʐ!'(CNP9       @r2$eIʐ=.xIENDB`{DyK
_Toc23656986{DyK
_Toc23656986{DyK
_Toc23656987{DyK
_Toc23656987{DyK
_Toc23656988{DyK
_Toc23656988{DyK
_Toc23656989{DyK
_Toc23656989{DyK
_Toc23656990{DyK
_Toc23656990{DyK
_Toc23656991{DyK
_Toc23656991{DyK
_Toc23656992{DyK
_Toc23656992{DyK
_Toc23656993{DyK
_Toc23656993{DyK
_Toc23656994{DyK
_Toc23656994{DyK
_Toc23656995{DyK
_Toc23656995{DyK
_Toc23656996{DyK
_Toc23656996{DyK
_Toc23656997{DyK
_Toc23656997{DyK
_Toc23656998{DyK
_Toc23656998{DyK
_Toc23656999{DyK
_Toc23656999{DyK
_Toc23657000{DyK
_Toc23657000{DyK
_Toc23657001{DyK
_Toc23657001{DyK
_Toc23657002{DyK
_Toc23657002{DyK
_Toc23657003{DyK
_Toc23657003{DyK
_Toc23657004{DyK
_Toc23657004{DyK
_Toc23657005{DyK
_Toc23657005{DyK
_Toc23657006{DyK
_Toc23657006{DyK
_Toc23657007{DyK
_Toc23657007{DyK
_Toc23657008{DyK
_Toc23657008{DyK
_Toc23657009{DyK
_Toc23657009{DyK
_Toc23657010{DyK
_Toc23657010{DyK
_Toc23657011{DyK
_Toc23657011{DyK
_Toc23657012{DyK
_Toc23657012{DyK
_Toc23657013{DyK
_Toc23657013{DyK
_Toc23657014{DyK
_Toc23657014{DyK
_Toc23657015{DyK
_Toc23657015{DyK
_Toc23657016{DyK
_Toc23657016{DyK
_Toc23657017{DyK
_Toc23657017{DyK
_Toc23657018{DyK
_Toc23657018{DyK
_Toc23657019{DyK
_Toc23657019{DyK
_Toc23657020{DyK
_Toc23657020{DyK
_Toc23670665{DyK
_Toc23670665{DyK
_Toc23670666{DyK
_Toc23670666{DyK
_Toc23670667{DyK
_Toc23670667{DyK
_Toc23670668{DyK
_Toc23670668Dd
tA4>ɐ+dQh*suIk}MfSAcD{8[ј͚m#޷ /}    X/f[1@6@72$eIʐ!'(CNP9       @r2$eIʐ=.xIENDB`{DyK
_Toc23656986{DyK
_Toc23656986{DyK
_Toc23656987{DyK
_Toc23656987{DyK
_Toc23656988{DyK
_Toc23656988{DyK
_Toc23656989{DyK
_Toc23656989{DyK
_Toc23656990{DyK
_Toc23656990{DyK
_Toc23656991{DyK
_Toc23656991{DyK
_Toc23656992{DyK
_Toc23656992{DyK
_Toc23656993{DyK
_Toc23656993{DyK
_Toc23656994{DyK
_Toc23656994{DyK
_Toc23656995{DyK
_Toc23656995{DyK
_Toc23656996{DyK
_Toc23656996{DyK
_Toc23656997{DyK
_Toc23656997{DyK
_Toc23656998{DyK
_Toc23656998{DyK
_Toc23656999{DyK
_Toc23656999{DyK
_Toc23657000{DyK
_Toc23657000{DyK
_Toc23657001{DyK
_Toc23657001{DyK
_Toc23657002{DyK
_Toc23657002{DyK
_Toc23657003{DyK
_Toc23657003{DyK
_Toc23657004{DyK
_Toc23657004{DyK
_Toc23657005{DyK
_Toc23657005{DyK
_Toc23657006{DyK
_Toc23657006{DyK
_Toc23657007{DyK
_Toc23657007{DyK
_Toc23657008{DyK
_Toc23657008{DyK
_Toc23657009{DyK
_Toc23657009{DyK
_Toc23657010{DyK
_Toc23657010{DyK
_Toc23657011{DyK
_Toc23657011{DyK
_Toc23657012{DyK
_Toc23657012{DyK
_Toc23657013{DyK
_Toc23657013{DyK
_Toc23657014{DyK
_Toc23657014{DyK
_Toc23657015{DyK
_Toc23657015{DyK
_Toc23657016{DyK
_Toc23657016{DyK
_Toc23657017{DyK
_Toc23657017{DyK
_Toc23657018{DyK
_Toc23657018{DyK
_Toc23657019{DyK
_Toc23657019{DyK
_Toc23657020{DyK
_Toc23657020{DyK
_Toc23670665{DyK
_Toc23670665{DyK
_Toc23670666{DyK
_Toc23670666{DyK
_Toc23670667{DyK
_Toc23670667{DyK
_Toc23670668{DyK
_Toc23670668Dd
N
N


C*AwishlogobKp19Od
C*AwishlogobKp19Od
%Un
%Un
Kp19OdPNG
Kp19OdPNG



IHDR_vAPLTEʦ3f3333f333ff3fffff3f3f̙3f3333f3333333333f3333333f3f33ff3f3f3f3333f3333333f3̙333333f333ff3ffffff3f33f3ff3f3f3ffff3fffffffffff3fffffff3fff̙ffff3fffff3f̙3333f33̙3ff3ffff̙f3f̙3f̙̙3f̙3f3333f333ff3fffff̙̙3̙f̙̙̙3f̙3f3f3333f333ff3fffff3f3f̙3f;ٙbKGDHcmPPJCmp0712HssIDATx^횋:Cܙ$@d+tgĎ} ~ϟ_ٻu?Piʿ+~?z|L`?zbq
7TS;

IHDR_vAPLTEʦ3f3333f333ff3fffff3f3f̙3f3333f3333333333f3333333f3f33ff3f3f3f3333f3333333f3̙333333f333ff3ffffff3f33f3ff3f3f3ffff3fffffffffff3fffffff3fff̙ffff3fffff3f̙3333f33̙3ff3ffff̙f3f̙3f̙̙3f̙3f3333f333ff3fffff̙̙3̙f̙̙̙3f̙3f3f3333f333ff3fffff3f3f̙3f;ٙbKGDHcmPPJCmp0712HssIDATx^횋:Cܙ$@d+tgĎ} ~ϟ_ٻu?Piʿ+~?z|L`?zbq
7TS;
*J}"7zGm^Mn^FHK-A-.VtD:,oJs"Yϒ,Z14n
׃bj?I˻~,OxbbVb569ˏ4Dn   v1ѭ3|4J'#gR ;(wWԐf_4.J     -NQu\T@3<,(Kȳ~~#f'$GxP;ShOxWbWV1r" 	]}mb܀NsUi
a.Z/e'FC{l;?8+=Z)~ڶaOpb#{،Ѵķ,\zݱ^*qIhU-vJս&~#U4Zj;?i㣔WY_g 
o.wS1ׅ'"=0jwkR4nj̑(ψ̋GD0T_{
4)2t9͠02D7?v.z޻<[Aх؈KKzG\-4̶AP3Srf{؜Cd4#A)Ǧ_0=kX2sDuLzSrB~ah#'̔	v/[)4?d3Ty|zh@Q'X,bwT햣D]phQ1u`NBؾa=핵HPf{
*J}"7zGm^Mn^FHK-A-.VtD:,oJs"Yϒ,Z14n
׃bj?I˻~,OxbbVb569ˏ4Dn   v1ѭ3|4J'#gR ;(wWԐf_4.J     -NQu\T@3<,(Kȳ~~#f'$GxP;ShOxWbWV1r" 	]}mb܀NsUi
a.Z/e'FC{l;?8+=Z)~ڶaOpb#{،Ѵķ,\zݱ^*qIhU-vJս&~#U4Zj;?i㣔WY_g 
o.wS1ׅ'"=0jwkR4nj̑(ψ̋GD0T_{
4)2t9͠02D7?v.z޻<[Aх؈KKzG\-4̶AP3Srf{؜Cd4#A)Ǧ_0=kX2sDuLzSrB~ah#'̔	v/[)4?d3Ty|zh@Q'X,bwT햣D]phQ1u`NBؾa=핵HPf{
NjHZ{"64nŋ2*.}}AiL_J3Hh3oTT:=t.+NE3p/+hT8+z}!Xe`H|`bKRw؝%/s#/Бb(1m/Ww:=Y+Fz̈StZXQ:Wbm>xMFfGMv      wa_NRC݅bQZ(Bd-.rO3ׂVދxdҞ^}%p]ߏxDvCgnzx7/
eŵtЧWw    <ڡ3:ތ
NjHZ{"64nŋ2*.}}AiL_J3Hh3oTT:=t.+NE3p/+hT8+z}!Xe`H|`bKRw؝%/s#/Бb(1m/Ww:=Y+Fz̈StZXQ:Wbm>xMFfGMv      wa_NRC݅bQZ(Bd-.rO3ׂVދxdҞ^}%p]ߏxDvCgnzx7/
eŵtЧWw    <ڡ3:ތ
pK'<(yyomψ9>E5;f@dvwU]xGPX.X{Y"IENDB`<Dd5R$:M0
pK'<(yyomψ9>E5;f@dvwU]xGPX.X{Y"IENDB`<Dd5R$:M0


#A2ixfD&z1U`!ixfD&`
#A2ixfD&z1U`!ixfD&`

 !"#$%&'()*+,-./0123456789:;<=>?@ABCDEFGHIJKLMNOPQRSTUVWXYZ[\]^_`abcdefghijklmnopqrstuvwxyz{|}~?@Root Entry% FpFyData

 !"#$%&'()*+,-./0123456789:;<=>?@ABCDEFGHIJKLMNOPQRSTUVWXYZ[\]^_`abcdefghijklmnopqrstuvwxyz{|}~?@Root Entry% FpFyData
jWordDocument$ObjectPool'CypFy_1096810070FCyCyOle
jWordDocument$ObjectPool'CypFy_1096810070FCyCyOle
CompObjiObjInfo
CompObjiObjInfo

 !$()*,-./02345678:;<=>?@ABCDEFGHIJKLMNOPQRSTUVWXYZ[\]^_`abcdefghijklmnopqs

 !$()*,-./02345678:;<=>?@ABCDEFGHIJKLMNOPQRSTUVWXYZ[\]^_`abcdefghijklmnopqs
FVISIO 5 DrawingVISIO 5.0 ShapesVisio.Drawing.59q՜.+,D՜.+,@HP\ht
$VisioDocument
FVISIO 5 DrawingVISIO 5.0 ShapesVisio.Drawing.59q՜.+,D՜.+,@HP\ht
$VisioDocument
W?VisioInformation"SummaryInformation(     [DocumentSummaryInformation8t     
W?VisioInformation"SummaryInformation(     [DocumentSummaryInformation8t     

 !"#$%&'()*+,-./0123456789:;<=>ADEFGHIJKLMNOPQRSTUVWXYZ[\]^_`abcdefghijklmnopqrstuvwxyz{|}~Visio (TM) Drawing

 !"#$%&'()*+,-./0123456789:;<=>ADEFGHIJKLMNOPQRSTUVWXYZ[\]^_`abcdefghijklmnopqrstuvwxyz{|}~Visio (TM) Drawing
W?Rs>?p !fffMMM333߈QQQq0QT
W?Rs>?p !fffMMM333߈QQQq0QT
d Arial)-":-3Times New RoWman)-3RWingds5TA? Y@-1&&J/T 
d Arial)-":-3Times New RoWman)-3RWingds5TA? Y@-1&&J/T 
hTJbOSS0{Gz?@CPjV]uVbUUO
hTJbOSS0{Gz?@CPjV]uVbUUO
OS?
OS?
T666
NP       U
T666
NP       U

UvPaC G#G#G#G#G#G#G &H#O`$$!>'^M4!>7    i>}p'0U.2?E!-67gjP>603206&0B!4?6`2GT2k=\-        2
VT?@96L^puI5L2?Op??ZX0F6ȍ03P@?_?V6CG
mON9:OOOOMjYgPJ?@_|Yoo2
q`?of.fT+Rjjg4E@ 0_"#$0%
yf_o? ?LgitCe6kPJKTu9 79?)foY2wT5<(22rZ51w1ab316_另_:.EX! -?Z$z_

UvPaC G#G#G#G#G#G#G &H#O`$$!>'^M4!>7    i>}p'0U.2?E!-67gjP>603206&0B!4?6`2GT2k=\-        2
VT?@96L^puI5L2?Op??ZX0F6ȍ03P@?_?V6CG
mON9:OOOOMjYgPJ?@_|Yoo2
q`?of.fT+Rjjg4E@ 0_"#$0%
yf_o? ?LgitCe6kPJKTu9 79?)foY2wT5<(22rZ51w1ab316_另_:.EX! -?Z$z_
_G3eɈJYp4FXj|2DV@ЏߟU#oүcuyaD_hzY$Ͽۯ;M_πqσϞϧϹV%/JSeßߙ߽B,winspool\\Ve\Kyocera Mita FS-1000+LPT1: ݘ]a@fl@N@qg     6d*HD@fl@N@HFT L*H}hGTF'        "@FoL@Fx
_G3eɈJYp4FXj|2DV@ЏߟU#oүcuyaD_hzY$Ͽۯ;M_πqσϞϧϹV%/JSeßߙ߽B,winspool\\Ve\Kyocera Mita FS-1000+LPT1: ݘ]a@fl@N@qg     6d*HD@fl@N@HFT L*H}hGTF'        "@FoL@Fx
BP(?GV)Izbbb   bK=
F7Z`Y``LL```"`$`%`3`4`&`(`)x+`,`.``0`1
BP(?GV)Izbbb   bK=
F7Z`Y``LL```"`$`%`3`4`&`(`)x+`,`.``0`1
`2`
`2`
x//0/B/T/N  !p/!>uz/b !!
x//0/B/T/N  !p/!>uz/b !!
J**'P
J**'P
0' :
0' :
*1'/M5?`=U8P**% 7N:u`F1ts00u96 & %wZenI$H=KB
*1'/M5?`=U8P**% 7N:u`F1ts00u96 & %wZenI$H=KB
LA-SD]GKBLA"KB*.KB2KB:o@LAwBKBJkBR
kBZElAblAjILArKBz       KBKBKB!.KB5KBw@LA@LA6KB7KB8KB9KBҗ@LA;KBKB?KBM0nLAASCBKBCsCD{CmECCGkB_:JPBPQ2P*P"PPP
LA-SD]GKBLA"KB*.KB2KB:o@LAwBKBJkBR
kBZElAblAjILArKBz       KBKBKB!.KB5KBw@LA@LA6KB7KB8KB9KBҗ@LA;KBKB?KBM0nLAASCBKBCsCD{CmECCGkB_:JPBPQ2P*P"PPP
PPOPGP?P7P/P'PPPPP@@@@@@@@@@@PPBP:P2P*P"PPP
PPOPGP?P7P/P'PPPPP@@@@@@@@@@@PPBP:P2P*P"PPP
P@R[H1TZ L0Qb    j1   B,@@LUh>@@~^?@&d2?de?55e@3e#e#e;?q8qIb O1\a1Or}dL@)@]Il;AU0|_uoapspr?o@    ;0;ga5ye>w&Jn9ǀǀT@3@4neth_top.viZn<'2U-mr7qupob1;ANaCAL_`mHiBE@`5 @@#sn_e@@q8q7P-DT!!u`u
P@R[H1TZ L0Qb    j1   B,@@LUh>@@~^?@&d2?de?55e@3e#e#e;?q8qIb O1\a1Or}dL@)@]Il;AU0|_uoapspr?o@    ;0;ga5ye>w&Jn9ǀǀT@3@4neth_top.viZn<'2U-mr7qupob1;ANaCAL_`mHiBE@`5 @@#sn_e@@q8q7P-DT!!u`u
`bu
`uNҔ(|͔ٔ@
`bu
`uNҔ(|͔ٔ@
`͐ԑ
ܐِ1p=q14<qO#C0Q?7@lr%b
7,Md
`͐ԑ
ܐِ1p=q14<qO#C0Q?7@lr%b
7,Md
ޠ23¥#;ӦF8@|qut;.̽0ԅwpHZKf
Uogoox}e#H$g0X,?^@@Eiog0zm=It`
EttL">Ls(:0aSsA0Sґhju)Rljbztq|nyI(s{rbq8(xDxRtʏ܉ 9?8!_:p`%7oj}er\.?@|>?@}noϽ++޴=<->
ޠ23¥#;ӦF8@|qut;.̽0ԅwpHZKf
Uogoox}e#H$g0X,?^@@Eiog0zm=It`
EttL">Ls(:0aSsA0Sґhju)Rljbztq|nyI(s{rbq8(xDxRtʏ܉ 9?8!_:p`%7oj}er\.?@|>?@}noϽ++޴=<->
OO.O@OROdO|z_`M
OO.O@OROdO|z_`M
_O(R_,_pگ__&F,shiftreg___
o#,p8?@.ew +3
_O(R_,_pگ__&F,shiftreg___
o#,p8?@.ew +3
ٲğ֟w,>PbtίҿHYb}N謹gS
޿clockgen*
ٲğ֟w,>PbtίҿHYb}N謹gS
޿clockgen*
"      %`)lNg%y^ @@?fyp`"?@HV?>Fr-݃6s?cHGYk}߾4       
'9K=OD?^F-p\/[mY    Tx StaWtus!`
"      %`)lNg%y^ @@?fyp`"?@HV?>Fr-݃6s?cHGYk}߾4       
'9K=OD?^F-p\/[mY    Tx StaWtus!`
x ԖϨ$</P/OOO&/O_O<_Ol/~/~randomr/~,S 30==Oap\e݃ee???` &r,OXOjO|On___/V_/C__/]R&G  'i)L4H*9
D,m@@d7C?@T*JR@@z^"?,6Tbcc$c-Q:#p׀ 3loir
p>Sq?e\qP8tf
x ԖϨ$</P/OOO&/O_O<_Ol/~/~randomr/~,S 30==Oap\e݃ee???` &r,OXOjO|On___/V_/C__/]R&G  'i)L4H*9
D,m@@d7C?@T*JR@@z^"?,6Tbcc$c-Q:#p׀ 3loir
p>Sq?e\qP8tf
䯐goRA"Ԁ
؏`TfZVt`LB>0`#H$@@X, Faפc`ߥccF#pGa\"hmptvCǟQVU\\hhttҟ%7IWTDfnJvnf"*Ԁ~&mS3
䯐goRA"Ԁ
؏`TfZVt`LB>0`#H$@@X, Faפc`ߥccF#pGa\"hmptvCǟQVU\\hhttҟ%7IWTDfnJvnf"*Ԁ~&mS3
scŻccR#p"D#̙P$\%̙h3t4Pҗ9>DUDPP\U\hhttϤIoT5"f#n$v%n3b4b‡*h|2b$%k -((b.Q(#e@@/"藼h4ww!Fpu`u
scŻccR#p"D#̙P$\%̙h3t4Pҗ9>DUDPP\U\hhttϤIoT5"f#n$v%n3b4b‡*h|2b$%k -((b.Q(#e@@/"藼h4ww!Fpu`u
`bu
`uN~` aA
`bu
`uN~` aA
A# _ Y{"0Q:
V2b
@߲b   xz{$,.      %Ubccc}8K@SqKWF%lttfxbt8ૅNpGe-   @@饸F2? ޭcc"#piY.*"/P6"!$"*"*"6"6"爐IT~.f/t~fH,S >y-T4q2S2S/ASe"q ~2/@dUN@)8+5#232:OQNfObVEhc+5G33?5GYHf//?ir8@@7 ?@t:NcM8-DT!?`?r?????!rP?b?OOAtdGLVorb
?WVrXxDbcb:YQo~fUC9utCyJvP
__1_C__g_
o__¯]___y^?pײ:`@@`0'o:oLo^opooov򙀮ooooֆ@"?@Շ~Mi*>":3QIr_օCC$rcAew]~Q֨^Hx@v³O?'9K]ows_¦ʟܗ<럗rՇ,Md
A# _ Y{"0Q:
V2b
@߲b   xz{$,.      %Ubccc}8K@SqKWF%lttfxbt8ૅNpGe-   @@饸F2? ޭcc"#piY.*"/P6"!$"*"*"6"6"爐IT~.f/t~fH,S >y-T4q2S2S/ASe"q ~2/@dUN@)8+5#232:OQNfObVEhc+5G33?5GYHf//?ir8@@7 ?@t:NcM8-DT!?`?r?????!rP?b?OOAtdGLVorb
?WVrXxDbcb:YQo~fUC9utCyJvP
__1_C__g_
o__¯]___y^?pײ:`@@`0'o:oLo^opooov򙀮ooooֆ@"?@Շ~Mi*>":3QIr_օCC$rcAew]~Q֨^Hx@v³O?'9K]ows_¦ʟܗ<럗rՇ,Md
¾?DQ]t^S>o@@O&d2݀z^ å,aҊCvMbϐϒϧ%q3DBO!شуKz!w 0@W$'TZĖN`r뼿/X,O?4R9K]o΂]
)/]7I[mE}q
YZh20q3.E@@~O?@H35'C5C55 U4~2s#0L@PPB/T/f+6/O#KO?oO'/9)OAOO-OOʟ+ Į +4`ҷRegisters Settingsu /x$H$?@@ ?@#RS@TX6ta{`Stykc`iD
¾?DQ]t^S>o@@O&d2݀z^ å,aҊCvMbϐϒϧ%q3DBO!شуKz!w 0@W$'TZĖN`r뼿/X,O?4R9K]o΂]
)/]7I[mE}q
YZh20q3.E@@~O?@H35'C5C55 U4~2s#0L@PPB/T/f+6/O#KO?oO'/9)OAOO-OOʟ+ Į +4`ҷRegisters Settingsu /x$H$?@@ ?@#RS@TX6ta{`Stykc`iD
9HI(bOO      __c.VdOWOYvb1_C_UY               i<'298,iTqR1p__\r\.(0ò?@}^?@)
9HI(bOO      __c.VdOWOYvb1_C_UY               i<'298,iTqR1p__\r\.(0ò?@}^?@)
q(s1CUGYl%mac.vEUܲƎܵߋ$o$
q(s1CUGYl%mac.vEUܲƎܵߋ$o$
@@㸽Y`0@@ PPYbYb#EnP
@@㸽Y`0@@ PPYbYb#EnP
?-Ÿ??E
{cb[5G|>"AYP+H`PG 
?-Ÿ??E
{cb[5G|>"AYP+H`PG 
=Q?*?
=Q?*?
."$Tfxҟjߊvү<0|receiveRdv8M"'RmS @@M7$H$@@@ !0rSP{xVH_hqzR %R"#00
."$Tfxҟjߊvү<0|receiveRdv8M"'RmS @@M7$H$@@@ !0rSP{xVH_hqzR %R"#00
2
2
"z""
"z""


""ϛɯ¬Ϸ>SaoeWѼpRyU
""ϛɯ¬Ϸ>SaoeWѼpRyU
R2!*"
R2!*"
A!/G1W}
A!/G1W}
@@q`+*C@@vn@@H`R-??ͤnwvπϚϵ>18"i!*IeRdVhzl~h/
@@q`+*C@@vn@@H`R-??ͤnwvπϚϵ>18"i!*IeRdVhzl~h/
//J\?/3?/W?I$Tx signals (data + )"0>ſ׹@#sJC\*򴋃Mҁ@
//J\?/3?/W?I$Tx signals (data + )"0>ſ׹@#sJC\*򴋃Mҁ@
BWF'4_#/#`0R܈nT#5Gp//t???kJF_!?oyoa?s9Mo_@qo_o??.    988u#?O L0E.?@{}^?@ʴtulO~E++7ȃ@^B{        J<Js   %OOOO
BWF'4_#/#`0R܈nT#5Gp//t???kJF_!?oyoa?s9Mo_@qo_o??.    988u#?O L0E.?@{}^?@ʴtulO~E++7ȃ@^B{        J<Js   %OOOO
ߚ__ȏڏ̟ޟHҦen߭϶,s_ statem6HZl%TjZ?@ӮK +"J7I[m;$ÿտ/ASEWHK&/	ncumcounters&G	,O>OPObO|GOANOiw"*
ߚ__ȏڏ̟ޟHҦen߭϶,s_ statem6HZl%TjZ?@ӮK +"J7I[m;$ÿտ/ASEWHK&/	ncumcounters&G	,O>OPObO|GOANOiw"*
(.A?]ojH+zz7>?@P _%о-?Qcu^pd"Ϲ%7n(hR?:v?ߚ?`??L?OFk}v,q`5ZV?@H@@!GPxe8SAS5p$W/U!7VAU@/R/d/!{/@"R,//)/bDd/,100DJ?1?C?5OGO58Vo?o?WoO&I#o@GokTOfOxI      IOG98Nk-OO?@~|H{5P~BUqc?풅Z_y󂅅S____bxOGwishboneOPOOOt.qI[KUҵ~PE2⎵ҭFɰ͹%ɶ2-$ɰ2
(.A?]ojH+zz7>?@P _%о-?Qcu^pd"Ϲ%7n(hR?:v?ߚ?`??L?OFk}v,q`5ZV?@H@@!GPxe8SAS5p$W/U!7VAU@/R/d/!{/@"R,//)/bDd/,100DJ?1?C?5OGO58Vo?o?WoO&I#o@GokTOfOxI      IOG98Nk-OO?@~|H{5P~BUqc?풅Z_y󂅅S____bxOGwishboneOPOOOt.qI[KUҵ~PE2⎵ҭFɰ͹%ɶ2-$ɰ2
.PA`ž/o#o5oGoX
.PA`ž/o#o5oGoX
??8#,Gcl~5߷!߬!/BTfwY?@6*?@&d+2ĵLNӺ 'vb_
??8#,Gcl~5߷!߬!/BTfwY?@6*?@&d+2ĵLNӺ 'vb_
!3E&$52Vr٢D0%7ЩLmacstatus*B0= r$_`PPQD?@=$5­-  3ӷR Kh/R ضm۶mR iqzS^@T"oρϓbQ=2&*b&?? '?O?@O?dO4FЯjt
xwem}71,TjZ?@.S1/C/U/ #i ev$~/////2CPFbb/
!3E&$52Vr٢D0%7ЩLmacstatus*B0= r$_`PPQD?@=$5­-  3ӷR Kh/R ضm۶mR iqzS^@T"oρϓbQ=2&*b&?? '?O?@O?dO4FЯjt
xwem}71,TjZ?@.S1/C/U/ #i ev$~/////2CPFbb/
??.?@?R?d?v???OOؒV%.OIOmvOPO3OOMNcounter|__+_;2D_V_h_,r\?@.
___ ^B{   ys'_        oo-o?oOξcݒqoooooooo
į0T#5Mecrcq>R3ˏݏ%7G]q2Q"4FXj|֯ 6ZhB h﮿ҿB43EW,"ϑϣϵ%о 2К$`r߄ߖߨߺpJ/:/"4GrxaddrcheckYPk}>R5%gYZhb@@/P#&A@@vn@@H&d2[?p4?y4
HO^61g61p61y6v[d"0ed)B5*<MVhzl/~/q6O
??.?@?R?d?v???OOؒV%.OIOmvOPO3OOMNcounter|__+_;2D_V_h_,r\?@.
___ ^B{   ys'_        oo-o?oOξcݒqoooooooo
į0T#5Mecrcq>R3ˏݏ%7G]q2Q"4FXj|֯ 6ZhB h﮿ҿB43EW,"ϑϣϵ%о 2К$`r߄ߖߨߺpJ/:/"4GrxaddrcheckYPk}>R5%gYZhb@@/P#&A@@vn@@H&d2[?p4?y4
HO^61g61p61y6v[d"0ed)B5*<MVhzl/~/q6O
/Ox7OJ/\)_O$_OH_//:$(Rx signals (data + control)»6#?5?G?jSƠZD@@?'5w??:)e32e????O̞Pb6:OLO^OpOOt__O\_8_\_6_jV___T\
/Ox7OJ/\)_O$_OH_//:$(Rx signals (data + control)»6#?5?G?jSƠZD@@?'5w??:)e32e????O̞Pb6:OLO^OpOOt__O\_8_\_6_jV___T\
Z7\ 8g(#e/`;&@@@h4?@޿~$bcj3ؒo㑁ooC0Q
Z7\ 8g(#e/`;&@@@h4?@޿~$bcj3ؒo㑁ooC0Q


pϕab
@


pϕab
@
NIsG*(u1t9tA}.t:NV` *gq:@1CgniGH8ɏیHgy^?@UrX@@x<Ơș'03ccF"HT8
NIsG*(u1t9tA}.t:NV` *gq:@1CgniGH8ɏیHgy^?@UrX@@x<Ơș'03ccF"HT8

8 l
88ĺoVǔ<

8 l
88ĺoVǔ<
&+8U1CG/Ћ. QJdn">][X`Z%pȨ`[r/0ߪѼx?H9I[mir8@8ֿ@2#Wi{d9›95AS9<Ϻ߄&ӧ1ҧ;M_q߃ߕߧ߹a%Im:,Md
&+8U1CG/Ћ. QJdn">][X`Z%pȨ`[r/0ߪѼx?H9I[mir8@8ֿ@2#Wi{d9›95AS9<Ϻ߄&ӧ1ҧ;M_q߃ߕߧ߹a%Im:,Md
*<JD\22=^ؑP,8Tߜ&W%%TQO4ၾ$ӈRx signals (data + control)H>asy4?@o  bXJ׾        !G`u
*<JD\22=^ؑP,8Tߜ&W%%TQO4ၾ$ӈRx signals (data + control)H>asy4?@o  bXJ׾        !G`u
`bu
`uOoo@
`bu
`uOoo@
`5@@p_ϳ\q]0Q߸?

ၨb
@q@˴Y[\o
w
`5@@p_ϳ\q]0Q߸?

ၨb
@q@˴Y[\o
w
       6S
       6S
ql    RݐؓߖީRB奈
HsT|ᶀ@@`0T@??12k)<1CU_q6"}m6"5#6"/2.G?      %
ql    RݐؓߖީRB奈
HsT|ᶀ@@`0T@??12k)<1CU_q6"}m6"5#6"/2.G?      %
L5E (:@K]oe#Ϗ@@`sC
//$@9/qRW/i/{/'/ISVP֨^RSR_2@^G_႕UkjV3r??????rO?O:A%O7O㯏ӏotl8C_\d`PAnAz&AϺ̟ޟ8ISewߛѯy+=Wa{B˿vIgڳNKCNK!4ÊBTϾ`DKBFϐooƏ<ߴ4ؿ¿DϠh&8!qxWISHBONE Slave Interface`C`rqRbm@6?@ZQJ.p@GңQ(:NC\nϨڿ{6ϟZ~Xωu/pqMasE
L5E (:@K]oe#Ϗ@@`sC
//$@9/qRW/i/{/'/ISVP֨^RSR_2@^G_႕UkjV3r??????rO?O:A%O7O㯏ӏotl8C_\d`PAnAz&AϺ̟ޟ8ISewߛѯy+=Wa{B˿vIgڳNKCNK!4ÊBTϾ`DKBFϐooƏ<ߴ4ؿ¿DϠh&8!qxWISHBONE Slave Interface`C`rqRbm@6?@ZQJ.p@GңQ(:NC\nϨڿ{6ϟZ~Xωu/pqMasE
jppw?v'030Z&j2G`D0ߚ82?@Qk~j`rlңu߹`:/N6D#5GYk]o!E//S/yqxMIIM
jppw?v'030Z&j2G`D0ߚ82?@Qk~j`rlңu߹`:/N6D#5GYk]o!E//S/yqxMIIM
signals*EoIOT|?@W?bs=4ְxtOOOOOJAF0NnB\_r
r_RPV8m7CFң5sEs FQnBSmCQ_QnBCS#WwCIZELo^opo/o?/DomFG?Y?#|43vO|@@oL        @@ "@@kZV1mec`xc     @p[gңfesoesxe
 `q@.OQb@OVO{4M`Ab[rzu
signals*EoIOT|?@W?bs=4ְxtOOOOOJAF0NnB\_r
r_RPV8m7CFң5sEs FQnBSmCQ_QnBCS#WwCIZELo^opo/o?/DomFG?Y?#|43vO|@@oL        @@ "@@kZV1mec`xc     @p[gңfesoesxe
 `q@.OQb@OVO{4M`Ab[rzu
B\zh_H}Xt__/ށFrng/on_/@BfyΟ _خ  >4:GA0"a5A*g9jEKfxy1 B,@@֏"3$H$@md=0ci-c6?3HRHq@&R'R(R˒)Rג+RBoAK1LUU˒˒גגUo#5pq'oeEa(&`q%'
B\zh_H}Xt__/ށFrng/on_/@BfyΟ _خ  >4:GA0"a5A*g9jEKfxy1 B,@@֏"3$H$@md=0ci-c6?3HRHq@&R'R(R˒)Rג+RBoAK1LUU˒˒גגUo#5pq'oeEa(&`q%'
ʐ֐+K*ߠ"ߠޡߠ
ʐ֐+K*ߠ"ߠޡߠ
ߠ2,'       "@oL@ @B::(1($EBy:
ߠ2,'       "@oL@ @B::(1($EBy:
Nܗ]4@4:?R_ϙbDߙ'\\Ve\Kyocera Mita FS-1000+4CdXXLetter@O_zDINU"4%T
Nܗ]4@4:?R_ϙbDߙ'\\Ve\Kyocera Mita FS-1000+4CdXXLetter@O_zDINU"4%T
c`     -?Qcu//)/;/M/_/q////%|Page-1BlWhReGrCoyYMa10ite lin30Lo T5=7=9=S1p:i9iH!ShArial centeredztop left   Times        33ͪ~zvrnjfb^ZVRNJE62.*&"
O<' "@oL@ !(0l 2@B,/<W!$CUgy+/Aw i o
c`     -?Qcu//)/;/M/_/q////%|Page-1BlWhReGrCoyYMa10ite lin30Lo T5=7=9=S1p:i9iH!ShArial centeredztop left   Times        33ͪ~zvrnjfb^ZVRNJE62.*&"
O<' "@oL@ !(0l 2@B,/<W!$CUgy+/Aw i o
bj1($l 2EBܕ=
bj1($l 2EBܕ=
<$6F?X|FArialtܜs	g(BU@(74dZuZsR\{8_ҿb&	!}*`lBe.W=w4{Uk$q })J'wD:GxO2F;?wLU<ʷDw{R˷}7<@{>
<$6F?X|FArialtܜs	g(BU@(74dZuZsR\{8_ҿb&	!}*`lBe.W=w4{Uk$q })J'wD:GxO2F;?wLU<ʷDw{R˷}7<@{>
!Oh+'0@HXdp|igormGZ]@       ]-&" WMFC] ((9zj]@ EMF(9\lJ        VISIODrawing
!Oh+'0@HXdp|igormGZ]@       ]-&" WMFC] ((9zj]@ EMF(9\lJ        VISIODrawing
 l&%
'%V0zjjzjzj%(%(&%
'%V0Uq)'W'Wss'%(%(&%
'%V0iXgVVggV%(%(&%
'%%(%%W$>NTAQQ%%(%
'%V,/KBXBX/QBKBX%(%%
'%V,KXKQXK%(%&%
'%%(%%W(xN={Q{::%%(%
'%V,3@3:@3%(%&%
'%%(%%W(x{{%%(%
'%V,%(%&%
'%%(%%W$36%%(%
'%V,%88%88%(%%
'%V,%(%&%
'%%(%%W$~{%%(&%
'%%(%%W$%%(%
'%V,%(%&%
'%V0P$a"_R_R""_%(%(&%
'QQ%V0^6V`TT8`8`T%(%(&%
'QQ%V0^,`*
 l&%
'%V0zjjzjzj%(%(&%
'%V0Uq)'W'Wss'%(%(&%
'%V0iXgVVggV%(%(&%
'%%(%%W$>NTAQQ%%(%
'%V,/KBXBX/QBKBX%(%%
'%V,KXKQXK%(%&%
'%%(%%W(xN={Q{::%%(%
'%V,3@3:@3%(%&%
'%%(%%W(x{{%%(%
'%V,%(%&%
'%%(%%W$36%%(%
'%V,%88%88%(%%
'%V,%(%&%
'%%(%%W$~{%%(&%
'%%(%%W$%%(%
'%V,%(%&%
'%V0P$a"_R_R""_%(%(&%
'QQ%V0^6V`TT8`8`T%(%(&%
'QQ%V0^,`*
*
*

`
`*%(%(&%
'%V0Ua_W_W_%(%(&%
'QQ%V0b-d++dd+%(%(&%
'QQ%V0bddd%(%(&%
'QQ%V0b7WdUU9d9dU%(%(&%
'%V0iag__gg_%(%(&%
'QQ%V0ZXX%(%(&%
'QQ%V0ZXX%(%(&%
'QQ%V0Z,*X*X

*%(%(&%
'QQ%V06ZVTXTX88T%(%(&%
'%V0iggg%(%(&%
'QQ%V0cZXXee%(%(&%
'QQ%V09ZYWXWX;;W%(%(&%
'QQ%V0ZXX%(%(&%
'QQ%V0ZXX%(%(&%
'%%(%%W$%%(%
'%V,%(%&%
'%%(%%W$dg%%(%
'%V,ViiVii%(%&%
'%%(%%W$0?E3BB%%(%
&JWMFC((9'%V,"<5I5I"B5<5I%(%%
'%V,<I<BI<%(%&%
'%%(%%W,58||%%(%
'%V,'::'::%(%%
'%V,%(%&%
'%%(%%W,58VV%%(%
'%V,'::'::%(%%
'%V,%(%&%
'%%(%%W,0hh3%%(%
'%V,%(%%
'%V,"
55"5
5%(%&%
'%V8%(%(&%
'%V8%(%(&%
'%V8xN~SxPzN|N~P|SzSxP%(%(&%
'%V8x7~<x:z7|7~:|<z<x:%(%(&%
'%V8x~xz|~|zx%(%(&%
'%%(%%W$#K[QXN&N%%(%
'%V,VGiTVGiNVTVG%(%%
'%V,G(T(TN(G(T%(%&%
'%%(%%W$#I&F%%(%
'%V,((((%(%%
'%V,DWDWDD%(%&%
'%%(%%W$KeNb%%(%
'%V,asasaa%(%&%
'%V8KPNKKNPPN%(%(&%
'%%(%%W$#I
&

`
`*%(%(&%
'%V0Ua_W_W_%(%(&%
'QQ%V0b-d++dd+%(%(&%
'QQ%V0bddd%(%(&%
'QQ%V0b7WdUU9d9dU%(%(&%
'%V0iag__gg_%(%(&%
'QQ%V0ZXX%(%(&%
'QQ%V0ZXX%(%(&%
'QQ%V0Z,*X*X

*%(%(&%
'QQ%V06ZVTXTX88T%(%(&%
'%V0iggg%(%(&%
'QQ%V0cZXXee%(%(&%
'QQ%V09ZYWXWX;;W%(%(&%
'QQ%V0ZXX%(%(&%
'QQ%V0ZXX%(%(&%
'%%(%%W$%%(%
'%V,%(%&%
'%%(%%W$dg%%(%
'%V,ViiVii%(%&%
'%%(%%W$0?E3BB%%(%
&JWMFC((9'%V,"<5I5I"B5<5I%(%%
'%V,<I<BI<%(%&%
'%%(%%W,58||%%(%
'%V,'::'::%(%%
'%V,%(%&%
'%%(%%W,58VV%%(%
'%V,'::'::%(%%
'%V,%(%&%
'%%(%%W,0hh3%%(%
'%V,%(%%
'%V,"
55"5
5%(%&%
'%V8%(%(&%
'%V8%(%(&%
'%V8xN~SxPzN|N~P|SzSxP%(%(&%
'%V8x7~<x:z7|7~:|<z<x:%(%(&%
'%V8x~xz|~|zx%(%(&%
'%%(%%W$#K[QXN&N%%(%
'%V,VGiTVGiNVTVG%(%%
'%V,G(T(TN(G(T%(%&%
'%%(%%W$#I&F%%(%
'%V,((((%(%%
'%V,DWDWDD%(%&%
'%%(%%W$KeNb%%(%
'%V,asasaa%(%&%
'%V8KPNKKNPPN%(%(&%
'%%(%%W$#I
&
F
F
%%(%
'%V,((
%%(%
'%V,((
((%(%%
'%V,DWDW
((%(%%
'%V,DWDW
DD%(%&%
'%V0g91	/ii;/;/%(%(&%
'QQ%V0t"v  vv%(%(&%
'QQ%V0t^"v} } `v`v}%(%(&%
'QQ%V0t"v  vv%(%(--$jzjzj----$'W'Wss'----$gVVggV-------%AQQ----$BX/QBKBX----$KQXK-------
DD%(%&%
'%V0g91	/ii;/;/%(%(&%
'QQ%V0t"v  vv%(%(&%
'QQ%V0t^"v} } `v`v}%(%(&%
'QQ%V0t"v  vv%(%(--$jzjzj----$'W'Wss'----$gVVggV-------%AQQ----$BX/QBKBX----$KQXK-------
%{Q{::----$3:@3-------
%{Q{::----$3:@3-------
%{{----$-------%6----$8%88----$-------%{-------%----$----$"_R_R""_---QQ-$`TT8`8`T---QQ-$`*
%{{----$-------%6----$8%88----$-------%{-------%----$----$"_R_R""_---QQ-$`TT8`8`T---QQ-$`*
*
*

`
`*----$_W_W_---QQ-$d++dd+---QQ-$ddd---QQ-$dUU9d9dU----$g__gg_---QQ-$XX---QQ-$XX---QQ-$*X*X

*---QQ-$TXTX88T----$ggg---QQ-$XXee---QQ-$WXWX;;W---QQ-$XX---QQ-$XX-------%----$-------%g----$iVii-------%3BB----$5I"B5<5I----$<BI<-------%8||----$:'::----$-------%8VV----$:'::----$-------%hh3----$----$5"5
5----$----$----$xPzN|N~P|SzSxP----$x:z7|7~:|<z<x:----$xz|~|zx-------%XN&N----$VGiNVTVG----$(TN(G(T-------%&F----$(((----$DWDD-------%Nb----$asaa----$NKKNPPN-------%&

`
`*----$_W_W_---QQ-$d++dd+---QQ-$ddd---QQ-$dUU9d9dU----$g__gg_---QQ-$XX---QQ-$XX---QQ-$*X*X

*---QQ-$TXTX88T----$ggg---QQ-$XXee---QQ-$WXWX;;W---QQ-$XX---QQ-$XX-------%----$-------%g----$iVii-------%3BB----$5I"B5<5I----$<BI<-------%8||----$:'::----$-------%8VV----$:'::----$-------%hh3----$----$5"5
5----$----$----$xPzN|N~P|SzSxP----$x:z7|7~:|<z<x:----$xz|~|zx-------%XN&N----$VGiNVTVG----$(TN(G(T-------%&F----$(((----$DWDD-------%Nb----$asaa----$NKKNPPN-------%&
F
F
----$(
----$(
((----$DW
((----$DW
DD----$/ii;/;/---QQ-$v  vv---QQ-$v} } `v`v}---QQ-$v  vv--PagesPage-10dlt_VPID_PREVIEWS_PID_LINKBASE$A
DD----$/ii;/;/---QQ-$v  vv---QQ-$v} } `v`v}---QQ-$v  vv--PagesPage-10dlt_VPID_PREVIEWS_PID_LINKBASE$A
FVISIO 5 DrawingVISIO 5.0 ShapesVisio.Drawing.59q_1097398583FbybyOle
FVISIO 5 DrawingVISIO 5.0 ShapesVisio.Drawing.59q_1097398583FbybyOle
CompObj
iObjInfoVisioDocumentCVisioInformation"SummaryInformation(tDocumentSummaryInformation8Visio (TM) Drawing
CompObj
iObjInfoVisioDocumentCVisioInformation"SummaryInformation(tDocumentSummaryInformation8Visio (TM) Drawing
Rr8Np !fffMMM3337QQQq0QT
Rr8Np !fffMMM3337QQQq0QT
d Arial)-":-3Times New RoWman)-3RWingds5TA? Y@-1&&J/T 
d Arial)-":-3Times New RoWman)-3RWingds5TA? Y@-1&&J/T 
hTJbOSS0{Gz?@CPjV]uVbUUO
hTJbOSS0{Gz?@CPjV]uVbUUO
OS?
OS?
T666
NP       U
T666
NP       U

UvPaC G#G#G#G#G#G#G &H#O`$$!>'^M4!>7    i>}p'0U.2?E!-67gjP>603206&0B!4?6`2GT2k=\-        2
VT?@96L^puI5L2?Op??ZX0F6ȍ03P@?_?V6CG
mON9:OOOOMjYgPJ?@_|Yoo2
q`?of.fT+Rjjg4G
0kD_O?oogONkr       Cudemzsus'up[Tih54?2.351w1]f3)u"7E@ 0U#$0%
yfKo??LgRi}u6kP]JT9 79?)foP-4uTA<

UvPaC G#G#G#G#G#G#G &H#O`$$!>'^M4!>7    i>}p'0U.2?E!-67gjP>603206&0B!4?6`2GT2k=\-        2
VT?@96L^puI5L2?Op??ZX0F6ȍ03P@?_?V6CG
mON9:OOOOMjYgPJ?@_|Yoo2
q`?of.fT+Rjjg4G
0kD_O?oogONkr       Cudemzsus'up[Tih54?2.351w1]f3)u"7E@ 0U#$0%
yfKo??LgRi}u6kP]JT9 79?)foP-4uTA<
Xreqrw16_-_:.EX!?Qcuޮ$șz_7@R_GA3ܟuJ|į߯Пz '0B`U#ɿۿϫGybπqXЌϧϰY$);V#5σߕߧ߹@V%/ASew"+=SeB,winspool\\Ve\Kyocera Mita FS-1000+LPT1:F h~T~?*x<;F
Xreqrw16_-_:.EX!?Qcuޮ$șz_7@R_GA3ܟuJ|į߯Пz '0B`U#ɿۿϫGybπqXЌϧϰY$);V#5σߕߧ߹@V%/ASew"+=SeB,winspool\\Ve\Kyocera Mita FS-1000+LPT1:F h~T~?*x<;F
BP(?Nr#)ҕ
BP(?Nr#)ҕ
<EbbN  @
<EbbN  @
Fb I0JYYYP     
Fb I0JYYYP     
YY;e]G
YY;e]G
mTw!*"!?WF `Ask on DropU`M\!for various shape pd erties when~#s arwe dd ped_!th!awing page.`visX\ b^a
mTw!*"!?WF `Ask on DropU`M\!for various shape pd erties when~#s arwe dd ped_!th!awing page.`visX\ b^a
 ww߃$뇄;C;wCXd{gd        o?VM|H[W]5%$
 ww߃$뇄;C;wCXd{gd        o?VM|H[W]5%$
3Represents various forms of logic gate.configurb\.7ҿ?¿-Sӿ~?.?5]ҿ`0p8`0ȿ<G	 	hT(@`0?@p8?@\.?@ž>;LF?PJ)o3|<|E_k       
3Represents various forms of logic gate.configurb\.7ҿ?¿-Sӿ~?.?5]ҿ`0p8`0ȿ<G	 	hT(@`0?@p8?@\.?@ž>;LF?PJ)o3|<|E_k       
p%#&7@jC0\
p%#&7@jC0\
A'(:A
A'(:A
u`?uo`b#$       !4pb @ `        EventDro{p ~@!L@#t!>s  "+p`electrcl.hlp!#3235B~`:Copyright (C) 1997 Visio Corporation. All "s reserved.%l!,tb-l
?\e`H_4_4    _4
u`?uo`b#$       !4pb @ `        EventDro{p ~@!L@#t!>s  "+p`electrcl.hlp!#3235B~`:Copyright (C) 1997 Visio Corporation. All "s reserved.%l!,tb-l
?\e`H_4_4    _4
_4_4*0"000
_4_4*0"000
0N02pN5EMQNlhT1[@пybA&B5tL`-@t5=@t4FA2llV?
	[!H"Ր!!a& `Reposi! Text{mATWBkAA!!#l	!21"0{1z?!7S" the quired number of inpu to9Rlogic gate `
No.MQIRR`2;3;4; PRlB0 $uOO`pAOH!AND1t1Q/!'3_d typeMQ_ZmQ      GvfP T` QoOR;P;N<`N?aX<`XC`U1ZTBպ_N\`4Q
P(#Qab
z+q#_EYoutSRfromZ_mQrTOc
QC1;PU4p_oBхxo|el!EntKPdevic]Pabel *p`LqR`M@"` oJszw qgTOE
0N02pN5EMQNlhT1[@пybA&B5tL`-@t5=@t4FA2llV?
	[!H"Ր!!a& `Reposi! Text{mATWBkAA!!#l	!21"0{1z?!7S" the quired number of inpu to9Rlogic gate `
No.MQIRR`2;3;4; PRlB0 $uOO`pAOH!AND1t1Q/!'3_d typeMQ_ZmQ      GvfP T` QoOR;P;N<`N?aX<`XC`U1ZTBպ_N\`4Q
P(#Qab
z+q#_EYoutSRfromZ_mQrTOc
QC1;PU4p_oBхxo|el!EntKPdevic]Pabel *p`LqR`M@"` oJszw qgTOE
AtQD`+70.ek%QlPrx ^@T?'x
AtQD`+70.ek%QlPrx ^@T?'x
.u`u  lb      lUqa]EaKPY@Q/_
.u`u  lb      lUqa]EaKPY@Q/_

Z
jvr"
dW15C%|pb
+&@	4[el~Uߌa/6X'rKE:1,7;M2pb/$1"p/$15/#{+ /"F0H!G?O73Nt9^4 3

Z
jvr"
dW15C%|pb
+&@	4[el~Uߌa/6X'rKE:1,7;M2pb/$1"p/$15/#{+ /"F0H!G?O73Nt9^4 3
dd\:3 ՙe317?#r
H?i:8pa
2TAA?'?E5OGOQ eD
dd\:3 ՙe317?#r
H?i:8pa
2TAA?'?E5OGOQ eD
15/?3 2 3OX'M@B})/\?'W)H$hTX@\.?@0?@*D"(65A6P't` ?st}y4[
15/?3 2 3OX'M@B})/\?'W)H$hTX@\.?@0?@*D"(65A6P't` ?st}y4[
Du` ?A@b.u`|#}dbRY        Y
Du` ?A@b.u`|#}dbRY        Y
      tMlUia+@ߋ5M=#'2?*߄m@ez?@@fl5mc bn36"3U'Z2ڰjDmG&6` ~Tʿ,&h8N$C,'0t:N?@%K(%./Y2U"O1a(?d!`!v/%}) mmJ r@.r?@ 9b+c@, %&2j!0AQ&q1a(
g9A*)KZgT;#m
      tMlUia+@ߋ5M=#'2?*߄m@ez?@@fl5mc bn36"3U'Z2ڰjDmG&6` ~Tʿ,&h8N$C,'0t:N?@%K(%./Y2U"O1a(?d!`!v/%}) mmJ r@.r?@ 9b+c@, %&2j!0AQ&q1a(
g9A*)KZgT;#m
A!+?rRl'%pbV@,$(N5C0rS ;Y&'>N0BlPR!QSAQi2Ar~z@:BH$h~T@@\.?@Nt?@~?.J?P't` D}?mu`bA@w?+3t
A!+?rRl'%pbV@,$(N5C0rS ;Y&'>N0BlPR!QSAQi2Ar~z@:BH$h~T@@\.?@Nt?@~?.J?P't` D}?mu`bA@w?+3t
wbޛ
wbޛ
u`d#(    (lތUaYwaspb@d2L&?B@h..h-l3' IOa~r
u`d#(    (lތUaYwaspb@d2L&?B@h..h-l3' IOa~r
hX,՗Kt//'+/% X)  -$H*hT@z8?@\.?@k,?@q43LuEN?P-t` -l?t`}f?A@}?o              
hX,՗Kt//'+/% X)  -$H*hT@z8?@\.?@k,?@q43LuEN?P-t` -l?t`}f?A@}?o              
u` zG
u` zG
u`jb%,     ,lU-a[_Epb mw{ws@@p\?,rt
 S?""v 
\!R7!1 @#@"@"
@"
he i!d)e s""!J""#" -Wd*GKDQcu 2G 5@B!z`R7d%+?:$'F!(AW0#0Z'4.GK9?K3""'ǃw+@1Bj"` 'E>Md%+%@@N>M  !_dC50հ0h#0 '4IlAM8OK3%R3
g6@=Dc%)8S"zb+L$""2pP/Ti 
d#%]F"-a^>OT`d#m]"U00#0D'4$GKOC
AVNf!S.iF_UfAM   ~N_hk\j10JXH"hT@\.?@.?@*D"43?4P
u`jb%,     ,lU-a[_Epb mw{ws@@p\?,rt
 S?""v 
\!R7!1 @#@"@"
@"
he i!d)e s""!J""#" -Wd*GKDQcu 2G 5@B!z`R7d%+?:$'F!(AW0#0Z'4.GK9?K3""'ǃw+@1Bj"` 'E>Md%+%@@N>M  !_dC50հ0h#0 '4IlAM8OK3%R3
g6@=Dc%)8S"zb+L$""2pP/Ti 
d#%]F"-a^>OT`d#m]"U00#0D'4$GKOC
AVNf!S.iF_UfAM   ~N_hk\j10JXH"hT@\.?@.?@*D"43?4P
t` w?qtw
t` w?qtw
V
V


u` ̾?A@b
u` ̾?A@b
'u`bWb      lU%3WaKO%p]@*x
'u`bWb      lU%3WaKO%p]@*x
UdfN2=2FHXjpuL`-@br  ` u`.@@ 2#    9 `<D]i0'2-U"?X=%9        }*-H~{FT*#B8b
UdfN2=2FHXjpuL`-@br  ` u`.@@ 2#    9 `<D]i0'2-U"?X=%9        }*-H~{FT*#B8b
;D9
;D9
BP(?NrR#*
BP(?NrR#*
<EbbKN  @
<EbbKN  @
Fb I0Y%YY     
Fb I0Y%YY     
YYeG
YYeG
u ςww߄wp*7Iw    wwrwp{`wp,FAInverters an i. Right mouse to select the required form.bP&d2WH??L?L5;?Ԙ$8̿bX,{Lҿ<ֿGU hTL@L&d2?@bX,?@P,?@I>=D?PELw
u ςww߄wp*7Iw    wwrwp{`wp,FAInverters an i. Right mouse to select the required form.bP&d2WH??L?L5;?Ԙ$8̿bX,{Lҿ<ֿGU hTL@L&d2?@bX,?@P,?@I>=D?PELw
kGk      XE     \    oJ)o38<u`?Tu[o`Wb	!%!%'OP<`UYk*UYdk-!(!U[!g m{L@  +,`electrcl.hlp!#3234B:`:Copyright (C) 1997 Visio Corporation. All "s reserved.%l,!b=l
&&zc/e\UH	4k0m,Tɵ1Nk1C$!!f!Enter device label0
H`LA	p`M@`oz5J)B/J/G'Ap[wAmOd:t `	bHrAA5VtL@-@t4@t5A2llV?
	bWza`Reposi! T?ext^@%4P%k0gVQ4;=#k4.&&@@>@^@0
q|fEЦ/ @ɪ5F3%b|
kGk      XE     \    oJ)o38<u`?Tu[o`Wb	!%!%'OP<`UYk*UYdk-!(!U[!g m{L@  +,`electrcl.hlp!#3234B:`:Copyright (C) 1997 Visio Corporation. All "s reserved.%l,!b=l
&&zc/e\UH	4k0m,Tɵ1Nk1C$!!f!Enter device label0
H`LA	p`M@`oz5J)B/J/G'Ap[wAmOd:t `	bHrAA5VtL@-@t4@t5A2llV?
	bWza`Reposi! T?ext^@%4P%k0gVQ4;=#k4.&&@@>@^@0
q|fEЦ/ @ɪ5F3%b|
/d62'pnm0!&&h!Set to 0n ve Form)Br#@^$a/!'}S" an ahf` of inver01VRH whTP@P&d2?@[4@kq=2?<2?Pu`ou `p       A@~       k    kus`?u   *t`t"@  b  אtvbv        a2O&8
/d62'pnm0!&&h!Set to 0n ve Form)Br#@^$a/!'}S" an ahf` of inver01VRH whTP@P&d2?@[4@kq=2?<2?Pu`ou `p       A@~       k    kus`?u   *t`t"@  b  אtvbv        a2O&8
JNRnL@YRN<`jbptuL`-@
JNRnL@YRN<`jbptuL`-@
vxL`s.@sx        #){ h<:ii    H(shT@P&d2?@I43:K3P
vxL`s.@sx        #){ h<:ii    H(shT@P&d2?@I43:K3P
t`wt}"w
t`wt}"w
O
O


N{ /?u`u        ~hb&5-     -2BTTnnS0O贁Nk?@lV\.?@6i?@g
*
xuL`-@
N{ /?u`u        ~hb&5-     -2BTTnnS0O贁Nk?@lV\.?@6i?@g
*
xuL`-@
@jN u`.@J
@jN u`.@J
   Q n
   Q n
rutlUGp)-a'=1K?%"b3-$@B:x<+0J#%f{22.H#{ 32?b !R02?f:\.9 rS01r@\l!a:V?01Q?>BEj2+?t8"3pBQ        @}
Bi&'0lB@*2UB?
F
rutlUGp)-a'=1K?%"b3-$@B:x<+0J#%f{22.H#{ 32?b !R02?f:\.9 rS01r@\l!a:V?01Q?>BEj2+?t8"3pBQ        @}
Bi&'0lB@*2UB?
F
BP(?7l `')B6xp#@4GE$@p@8!]R
BP(?7l `')B6xp#@4GE$@p@8!]R
uPvvxR8L_8
uPvvxR8L_8
031sJNTF
031sJNTF
^@bU61L3P0CV\2r00YlfW_@@|  @/
BB&R1P"$.
(X _H^YTR
QR
?OeM*oofUĸof
eqp%bUĩ@@d        @#
B}~-w{FqU#B$t< 
^@bU61L3P0CV\2r00YlfW_@@|  @/
BB&R1P"$.
(X _H^YTR
QR
?OeM*oofUĸof
eqp%bUĩ@@d        @#
B}~-w{FqU#B$t< 
;sCGG@Lo!+^;ucS}G+hjcH+>_^%f`'<    4O|"@oL@_
;sCGG@Lo!+^;ucS}G+hjcH+>_^%f`'<    4O|"@oL@_
,!004O|"@oL@"# $<@B?LCD+*11($1($<EBC+
,!004O|"@oL@"# $<@B?LCD+*11($1($<EBC+
`߫*?RB+!DCCKT]a?)
`߫*?RB+!DCCKT]a?)
B!@6fY@g       6A
B!@6fY@g       6A
tlx        fC:\Program Files\Visio\Solutions\Electrical and onic\EE - Analog'Digit%Logic.vssYx 2Inteted Circuit ComponentsT*g*5 DD?)
tlx        fC:\Program Files\Visio\Solutions\Electrical and onic\EE - Analog'Digit%Logic.vssYx 2Inteted Circuit ComponentsT*g*5 DD?)
B!@6fY@FT L*hTF4O|"@FoL@Fx/P/_c  !p/!>puz/b A!!
B!@6fY@FT L*hTF4O|"@FoL@Fx/P/_c  !p/!>puz/b A!!
**  '
**  '
0'*        :
0'*        :
*'/&M5?`=U8P**%  7
*'/&M5?`=U8P**%  7
w:'u`F1s:00u96 &   %>Ien5FHG"
w:'u`F1s:00u96 &   %>Ien5FHG"
>In
>In
mZT"e@P@omɶAo4?@saU`MAsk for various shape properties whenCs are d@ped on thQawing page.`APD@:`visX@"ZWU0U[R[R[R[R,_Aogn[PTOFuBP
UZI[Pgu@     
mZT"e@P@omɶAo4?@saU`MAsk for various shape properties whenCs are d@ped on thQawing page.`APD@:`visX@"ZWU0U[R[R[R[R,_Aogn[PTOFuBP
UZI[Pgu@     
PUPPPuD
GSAIFZo)o3A?d@M&d2Nfmf9`dovogoo@oo _*b	mm8a0$-@RPhzPbPaD=oOoPSH1/T"OBK0$ZjU0Db?@0@@Hb?@tti~K?5t` !!?t/Tӯ1︃겂̸Z`Oc.;(8I O1&:1EL@s)@`Al;AaU0[B@r?c@       ;0;t
PUPPPuD
GSAIFZo)o3A?d@M&d2Nfmf9`dovogoo@oo _*b	mm8a0$-@RPhzPbPaD=oOoPSH1/T"OBK0$ZjU0Db?@0@@Hb?@tti~K?5t` !!?t/Tӯ1︃겂̸Z`Oc.;(8I O1&:1EL@s)@`Al;AaU0[B@r?c@       ;0;t
Ɵؙ~4ྟ@d9ĽTI4neth_maccontrol.vi}B<'2U#c-kM1
Ɵؙ~4ྟ@d9ĽTI4neth_maccontrol.vi}B<'2U#c-kM1
K@A+`3mR\.@@|>rP(@@ n`??"~mPMˋ{{IAUO9EHdTfx
K@A+`3mR\.@@|>rP(@@ n`??"~mPMˋ{{IAUO9EHdTfx
.ϛnH;߀_σ߮ҩ(From transmit moduleS@[HF0KPAjlQ4ą3@@e-      @@(JR@@nvv到@?d3o#x#:lmEDd1MY@(v4~M@MLXfdpp|M|3M33K3SJ:A9BgM$0<3LHMT#$IlNxOYPVS2MQUMMRM&2ɩM>QH`V@NbPfnzf}ffMfM"Dd´̠01ENBO#U((44@#LRLX#ddpp|#T##Jc3$*o300<@T@!HHTTU$$llUxxUU(@!C5CYCeC&*&22>CJCVVPbCsmzzUU
STS1S""dm(:Lϩ7
t(@?_YD>^d(>pR%R
.ϛnH;߀_σ߮ҩ(From transmit moduleS@[HF0KPAjlQ4ą3@@e-      @@(JR@@nvv到@?d3o#x#:lmEDd1MY@(v4~M@MLXfdpp|M|3M33K3SJ:A9BgM$0<3LHMT#$IlNxOYPVS2MQUMMRM&2ɩM>QH`V@NbPfnzf}ffMfM"Dd´̠01ENBO#U((44@#LRLX#ddpp|#T##Jc3$*o300<@T@!HHTTU$$llUxxUU(@!C5CYCeC&*&22>CJCVVPbCsmzzUU
STS1S""dm(:Lϩ7
t(@?_YD>^d(>pR%R
Q'QI3Q"?Q*QQ2Q:QBoQJ{QRRZQbQj\QrRzQQQ$QQQɪQQ%R/QQIGQSQ#QkQwQQ0R0Q0b0Q"@Q*@Q2@'b/@/bRJA@?bM@QZY@ObVcq@_b}@2Qz@obvc@2Q@bPc@b@Q@b@b$@QPQ
Pbc%Pb1Pb
cc     RcR"
Q'QI3Q"?Q*QQ2Q:QBoQJ{QRRZQbQj\QrRzQQQ$QQQɪQQ%R/QQIGQSQ#QkQwQQ0R0Q0b0Q"@Q*@Q2@'b/@/bRJA@?bM@QZY@ObVcq@_b}@2Qz@obvc@2Q@bPc@b@Q@b@b$@QPQ
Pbc%Pb1Pb
cc     RcR"
pppppppppppppzprpjpbpZpRpJpBp:p2p*p"pp
pppppppppppppzprpjpbpZpRpJpBp:p2p*p"pp
PPPPPPʪPPPPPPPPPPzPrPjPbPZPRPJPBP:P2P*P"PPP
PPPPPPʪPPPPPPPPPPzPrPjPbPZPRPJPBP:P2P*P"PPP
PE*\פK$"U%&'1u()HFF        J7l]dU
PE*\פK$"U%&'1u()HFF        J7l]dU
h_z__5xnbz@"]'pXϴϦߐjLPj%M'؜G@gw[rP]Q h:00PZlZ4$r@@|>?@p8?@Zt}?t`/\\fШt~Ҋ">â׹>Tz<e!2+K]0Q?ݶ
SWn=b
T(FҤگ_ķɷٿCſgv߉crL``_l*
h_z__5xnbz@"]'pXϴϦߐjLPj%M'؜G@gw[rP]Q h:00PZlZ4$r@@|>?@p8?@Zt}?t`/\\fШt~Ҋ">â׹>Tz<e!2+K]0Q?ݶ
SWn=b
T(FҤگ_ķɷٿCſgv߉crL``_l*
 ǭ?d#1Il!l+=OasXߗߩ@
 ǭ?d#1Il!l+=OasXߗߩ@
)
5)cjbtR0TR!@ypv݅(D"bX,w?@`d2!ċ;;k?më==D`c.,~>bTR$R2"R2s)Pʯ^Q/Gu/!/ɿ{     /c//O/        ?JZΑQTxAbortOutiW’'/2q00͑6Q)pK+3.*JR?@VE       #+C3EJ5Ws5WM_'ɟqW0B////A/3?E?e+Fb_/_/_?/p__\_oO?a?s=:UsedDaCta???=Lz،d2@@|m@@x<@?s?GtѹʊveƁ؉`_r}8gHuJo$o6oHoiAtƏaBkMoZ/qW@f\i|x^hASB%*
)
5)cjbtR0TR!@ypv݅(D"bX,w?@`d2!ċ;;k?më==D`c.,~>bTR$R2"R2s)Pʯ^Q/Gu/!/ɿ{     /c//O/        ?JZΑQTxAbortOutiW’'/2q00͑6Q)pK+3.*JR?@VE       #+C3EJ5Ws5WM_'ɟqW0B////A/3?E?e+Fb_/_/_?/p__\_oO?a?s=:UsedDaCta???=Lz،d2@@|m@@x<@?s?GtѹʊveƁ؉`_r}8gHuJo$o6oHoiAtƏaBkMoZ/qW@f\i|x^hASB%*
G?xRyqܒ=l}0T&
G?xRyqܒ=l}0T&
y ¢t8t
C_R#_5_G_L./R/v/dovoiio eth_wishbone
y ¢t8t
C_R#_5_G_L./R/v/dovoiio eth_wishbone
module!Q#mU8
module!Q#mU8
/x?S@d3k5WEڔIAGUw㉐Ibĕt0t2.N !0@BGY&t/ d6O@/e5/O4Rh?(?:<,w@JRT*@@=7qT*PSDSϗ6-P&J'ɟǻ?vm۶mwbĻ?otgP?
DJbO+O=OOO2"uG OORTO'Vo5_!x< U#_GG_4Sh_z_:<8al6f?@z3c/@@S2kwtkwP
/x?S@d3k5WEڔIAGUw㉐Ibĕt0t2.N !0@BGY&t/ d6O@/e5/O4Rh?(?:<,w@JRT*@@=7qT*PSDSϗ6-P&J'ɟǻ?vm۶mwbĻ?otgP?
DJbO+O=OOO2"uG OORTO'Vo5_!x< U#_GG_4Sh_z_:<8al6f?@z3c/@@S2kwtkwP
!u`u
!u`u
`bu
`u^;@a&`B6@[xq
`bu
`u^;@a&`B6@[xq
`6=vEBspMo
Dko}odoo:wfl@wXj?Z@6q>@7gW~o
sפ ّ pV@z3@͒zT`/~@spyA/"oo/
`6=vEBspMo
Dko}odoo:wfl@wXj?Z@6q>@7gW~o
sפ ّ pV@z3@͒zT`/~@spyA/"oo/
|_@ um7%H TqFucR6h6?@Nt?@`&d2
|_@ um7%H TqFucR6h6?@Nt?@`&d2
W1
W1
? ⚿؉؉&D?`c.G#kтׄ˳bàgy@J\Imπ/)π[G//))xD0OutipE2'/2qм^Af)G[Upp`t@6<~md2L&!MO]1Bpw5v?/)©
&C8C:Z"<)H>[ŹT"^HvסH.c`bcэass~}.eF0U^0aVbVcVVV*"r
? ⚿؉؉&D?`c.G#kтׄ˳bàgy@J\Imπ/)π[G//))xD0OutipE2'/2qм^Af)G[Upp`t@6<~md2L&!MO]1Bpw5v?/)©
&C8C:Z"<)H>[ŹT"^HvסH.c`bcэass~}.eF0U^0aVbVcVVV*"r
!2($: )+Uadcp<
!2($: )+Uadcp<
*5EMQ1>0:Ԫ1mzAƠʡxQЄpz@ءjF0p~ hpnpg\T6>0K
*5EMQ1>0:Ԫ1mzAƠʡxQЄpz@ءjF0p~ hpnpg\T6>0K
*r>0  `@q E1m!@Q<++ts@+/u ž\Ut`LאEpV`@eUuRPIBP#W%3Dp[ ^q9?!!Ώ47xDE:ե_v`~m(t   %Ӆ8pVP%Y%+Vt`,0g0O?a?#Ppb&[RA?1Xk%bX,i'CU.GWWedD"H$?@7p0r///͓*g{֧//H!356UXvUYvZv[v\v]~AqUW8@YP[P]
*r>0  `@q E1m!@Q<++ts@+/u ž\Ut`LאEpV`@eUuRPIBP#W%3Dp[ ^q9?!!Ώ47xDE:ե_v`~m(t   %Ӆ8pVP%Y%+Vt`,0g0O?a?#Ppb&[RA?1Xk%bX,i'CU.GWWedD"H$?@7p0r///͓*g{֧//H!356UXvUYvZv[v\v]~AqUW8@YP[P]
O.@RdooEW5 ~0}H8@T,҅WBOnр\`@؀dnEG&/Hك4V;0?r ItlJ1&1مjhWhЊD)08]`>J_uC0>"`9ECֈ_%W0D8p"FFas*֝FǗHP`$Db@+A.*@HH*| ȃ5M`ez@Al@߸m ?bnV0Et:N?@%8ȼDE@.r?@ 9b+˦@, DƐ^РhbҐ֨@gHJ??"
O.@RdooEW5 ~0}H8@T,҅WBOnр\`@؀dnEG&/Hك4V;0?r ItlJ1&1مjhWhЊD)08]`>J_uC0>"`9ECֈ_%W0D8p"FFas*֝FǗHP`$Db@+A.*@HH*| ȃ5M`ez@Al@߸m ?bnV0Et:N?@%8ȼDE@.r?@ 9b+˦@, DƐ^РhbҐ֨@gHJ??"
dtĿHk~*wTvZx8AAF`BFdž?@~OǦʿܿ%d2L&VW@ѯ;ghXAՄDv[xPk1    ߦѣaz8ňk,?@q43L~*
dtĿHk~*wTvZx8AAF`BFdž?@~OǦʿܿ%d2L&VW@ѯ;ghXAՄDv[xPk1    ߦѣaz8ňk,?@q43L~*
ӓ
ӓ
=Dc"       @pOPVr;Mew3%HP#TPuq
=Dc"       @pOPVr;Mew3%HP#TPuq
<\k{绔vT@ة$6U?D<$uXDuP%]xBj/"adove![@-dEVN//(]O252E}D@F"nPt-aH^BxU@jȏڏ,Dt`yU2?0@WtZQ3rReSXYeSlSW(3OEO#08 pMqCǟ_!8JQ
<\k{绔vT@ة$6U?D<$uXDuP%]xBj/"adove![@-dEVN//(]O252E}D@F"nPt-aH^BxU@jȏڏ,Dt`yU2?0@WtZQ3rReSXYeSlSW(3OEO#08 pMqCǟ_!8JQ
ޯ~gNo`ot_x(Vph/:Z@>P&d2?@I1 !1 E\
ޯ~gNo`ot_x(Vph/:Z@>P&d2?@I1 !1 E\
XJU6i?@QBxO(__$@Z@EfA)aBO!%2-?/a  (Y*%2rf9oٟ159H?3l"vm@ 
XJU6i?@QBxO(__$@Z@EfA)aBO!%2-?/a  (Y*%2rf9oٟ159H?3l"vm@ 
9H`6t;?|D@q       HO)D@ɏWOi@,OAa@D(Tq&_///,D___]'q4^$5ǟ5MVYezlflP/m~ؾ֋%et:N?v i8@.r?@ 9b+X@, ]֐2h2TywSgQ0@00
9H`6t;?|D@q       HO)D@ɏWOi@,OAa@D(Tq&_///,D___]'q4^$5ǟ5MVYezlflP/m~ؾ֋%et:N?v i8@.r?@ 9b+X@, ]֐2h2TywSgQ0@00
\p'ed,yN5b"&XTiT&b@DT%5|>?WXjUDϟ)]4+zd2L&a1'uUUhX/,rDT c@!*BC߯աCz8X"kT-@l%7 I{{[m
\p'ed,yN5b"&XTiT&b@DT%5|>?WXjUDϟ)]4+zd2L&a1'uUUhX/,rDT c@!*BC߯աCz8X"kT-@l%7 I{{[m
naB!z%|EW)c1+%+//iuc~o=Dc     pP-t.=/O/cg/y!(&5/+
?/iGT2A+5x@`0@@!H$@@0oBP(Є1+?4ĭ5 G-@e 2=y0FeS3?3=l}eU2܉؉ePFY0fr2Bgr>BhrJBirVBj-AbB7u`q(@,B2B2B>B>BJBJBVBVBgCaCN8utIi=LbAbB  ARoeDxf{gR
naB!z%|EW)c1+%+//iuc~o=Dc     pP-t.=/O/cg/y!(&5/+
?/iGT2A+5x@`0@@!H$@@0oBP(Є1+?4ĭ5 G-@e 2=y0FeS3?3=l}eU2܉؉ePFY0fr2Bgr>BhrJBirVBj-AbB7u`q(@,B2B2B>B>BJBJBVBVBgCaCN8utIi=LbAbB  ARoeDxf{gR
Q[@!SjR%">P>P=Q
Q[@!SjR%">P>P=Q
>Pš*V0P\?m_?@}Tar@@"??5!3|Q:f۶m:H?     OJnOOBUCCJ(AQ'kr|b2:AmrbnrboiBbAvb|b|bbbbbbbJbbOxkTUlTmTnToT?_QSH7@"dfT謧^@4%~}躨sP,@iB!`u`u
>Pš*V0P\?m_?@}Tar@@"??5!3|Q:f۶m:H?     OJnOOBUCCJ(AQ'kr|b2:AmrbnrboiBbAvb|b|bbbbbbbJbbOxkTUlTmTnToT?_QSH7@"dfT謧^@4%~}躨sP,@iB!`u`u
`bu
`ut׀@A3(@ttW`p*pqpp@)(o:oZjCB
`bu
`ut׀@A3(@ttW`p*pqpp@)(o:oZjCB
)@(Yp/@} @X`?@?~߰h/d a,yRyU䂩,e\Qm%@2/8@A9d(`̐a]alBrGApӝ盋PBt[@4'2UUŤ=1iTv)gZl~΄4@@ʣu?    -?Qcu{hй׃~ˇP&d2!߇\&$I$I,TâDU[`Ǭʇʮ+=Oash|tuPR,>PbtvЄĿּ H)/vDw[ϻ%9K]o߁qi߰ߎ3@ǠÇ+=OaswﴏS&r\n#D/[#'*G%%0K]o`sb@TPjA
LCSUOUPVj?{@͂?@U0CҀ9C?FZL Y'N_WL V|W|gL hR%oIeTEYov0Rj`L@0b&PTp22?.?@:@Go/Gg_c'_/O_]_y__e_oA9Wx`x`T6O4q8MUX0?4q`6e;keJ\nOJ`//Ə؋eM/wc.x3x%ăDŏ[`Ї/??[_MoI?9_??o??5+oƯد.l~+5"4ֿx-xΠG54ւ5>+=Os߀ϩ͟ߟm 2F
)@(Yp/@} @X`?@?~߰h/d a,yRyU䂩,e\Qm%@2/8@A9d(`̐a]alBrGApӝ盋PBt[@4'2UUŤ=1iTv)gZl~΄4@@ʣu?    -?Qcu{hй׃~ˇP&d2!߇\&$I$I,TâDU[`Ǭʇʮ+=Oash|tuPR,>PbtvЄĿּ H)/vDw[ϻ%9K]o߁qi߰ߎ3@ǠÇ+=OaswﴏS&r\n#D/[#'*G%%0K]o`sb@TPjA
LCSUOUPVj?{@͂?@U0CҀ9C?FZL Y'N_WL V|W|gL hR%oIeTEYov0Rj`L@0b&PTp22?.?@:@Go/Gg_c'_/O_]_y__e_oA9Wx`x`T6O4q8MUX0?4q`6e;keJ\nOJ`//Ə؋eM/wc.x3x%ăDŏ[`Ї/??[_MoI?9_??o??5+oƯد.l~+5"4ֿx-xΠG54ւ5>+=Os߀ϩ͟ߟm 2F
¯ԯ
¯ԯ
/WDV
/WDV
AxiWVւ       W4`:;M_qϷϕn0BTz,>QyfxF,/{w.y*#xւ+/yV#6'\*]oY?/#5o8]?OO+O=OOOlNNNOAOOMs0TB_!_3_E_//[o_O___A_1oo__O_eowooo5?oocGpRf_Dl5x5L*JR@@!H$05Uc06U07 NNpFGp?3=l}-Gp܉؉AO SOeO^JF2}`2q2rr2r3s2rt2rSurprUrrrrUrrrrrrBoe!@`DqT
AxiWVւ       W4`:;M_qϷϕn0BTz,>QyfxF,/{w.y*#xւ+/yV#6'\*]oY?/#5o8]?OO+O=OOOlNNNOAOOMs0TB_!_3_E_//[o_O___A_1oo__O_eowooo5?oocGpRf_Dl5x5L*JR@@!H$05Uc06U07 NNpFGp?3=l}-Gp܉؉AO SOeO^JF2}`2q2rr2r3s2rt2rSurprUrrrrUrrrrrrBoe!@`DqT
r
r
b*
b*
qRp^p`ٕmպ]|ߎP,%7\[)}8  yp        
qRp^p`ٕmպ]|ߎP,%7\[)}8  yp        
ž
ž
'!V<"WS!b///O_/@?!?3?E?W?%r~(f唘¹  -?QcuK韰Z˜ƟP
'!V<"WS!b///O_/@?!?3?E?W?%r~(f唘¹  -?QcuK韰Z˜ƟP
J+='!x<"yS!¤ӄêګϯ'+= OasIs(Ŀ؄ҹʏ܏P!3|,>PbtϘϪn|,DlMB+<"S!
J+='!x<"yS!¤ӄêګϯ'+= OasIs(Ŀ؄ҹʏ܏P!3|,>PbtϘϪn|,DlMB+<"S!
I'9]oi7at@.('      =OasGD`2
I'9]oi7at@.('      =OasGD`2
؟c6(JȀ23
؟c6(JȀ23
3h?2373:%kII@2.opTq˵eOePVj?@?VSTСSg02h8&[N_!wp0V?|W|5wp0XI/m)xrr wL@o`""FPd 6B BB@OROdJPWmASWoGoS_omAgoooCeIĜpzpTF4AHMUX@\ODqpF\uGv2A|*4˵x:UbX,@@!H$ 0^U\HP)%V@W whhXF֫W3=l}W?؉___!jF'`w2x>yJzV{2b(,22>>JJVVbbPboSe$ApD(4w+1xΔyΔzΔ{Δ
3h?2373:%kII@2.opTq˵eOePVj?@?VSTСSg02h8&[N_!wp0V?|W|5wp0XI/m)xrr wL@o`""FPd 6B BB@OROdJPWmASWoGoS_omAgoooCeIĜpzpTF4AHMUX@\ODqpF\uGv2A|*4˵x:UbX,@@!H$ 0^U\HP)%V@W whhXF֫W3=l}W?؉___!jF'`w2x>yJzV{2b(,22>>JJVVbbPboSe$ApD(4w+1xΔyΔzΔ{Δ
*wv/ P,X!]/o///////i'd7?,?>?5$g6vq?>WFFԗ76鿡7@O#O5O}ookO[ϡO OOOOx"4j#*%<K/ѯi1'dYFLXP,QܶϹfY
*wv/ P,X!]/o///////i'd7?,?>?5$g6vq?>WFFԗ76鿡7@O#O5O}ookO[ϡO OOOOx"4j#*%<K/ѯi1'dYFLXP,QܶϹfY
XY6b<=OasϹϗϗ޽y2DVߔjM\nP!3|߾*<hS'd{hzwE5_>ֲs:Դ;{,#z2-/1{X#8'^*_qπ/%7Gz0Tfx@r}N7);M_gu0'dB0jhD/$&BNSC>"O_BzSZWCZ//////P>??%?7?I?[?m5bTz@~w6"&?OO$O6OHOZOw~Oztɢ0QOڥZ@捱D"H@@jvU*JR@F wHH66`Ϩc W65C8C?Ա6L?'ɟɢȣ>"ɢ|ң0X___!85oGkH}Ɯ,e|p8@@@@@$?@P(
XY6b<=OasϹϗϗ޽y2DVߔjM\nP!3|߾*<hS'd{hzwE5_>ֲs:Դ;{,#z2-/1{X#8'^*_qπ/%7Gz0Tfx@r}N7);M_gu0'dB0jhD/$&BNSC>"O_BzSZWCZ//////P>??%?7?I?[?m5bTz@~w6"&?OO$O6OHOZOw~Oztɢ0QOڥZ@捱D"H@@jvU*JR@F wHH66`Ϩc W65C8C?Ա6L?'ɟɢȣ>"ɢ|ң0X___!85oGkH}Ɯ,e|p8@@@@@$?@P(
??P:AZ00h&ӏءAɉup/         @?-us0 PU p_nsٯv 
??P:AZ00h&ӏءAɉup/         @?-us0 PU p_nsٯv 
t)ߏIWs):50H~ϞƟr@@=V$4'9K]o߷tدRT*V& 3?<@R?KKsnMv>"U!
t)ߏIWs):50H~ϞƟr@@=V$4'9K]o߷tدRT*V& 3?<@R?KKsnMv>"U!
׿%1C$i{ؓh8@@!@@N8#       
?[mt"f^Y t
׿%1C$i{ؓh8@@!@@N8#       
?[mt"f^Y t
Vg/{TX9P"3#?"37#:/Ae-@.{̀Pؓ<)& IE/W/i/{//////@tR/?"@@%??tR;;Gdn@RcS2oRcgSj?OO+OqOOOC)OOOO$n"ZV@@NB`0[?t?tώ{{7\NP.10
Vg/{TX9P"3#?"37#:/Ae-@.{̀Pؓ<)& IE/W/i/{//////@tR/?"@@%??tR;;Gdn@RcS2oRcgSj?OO+OqOOOC)OOOO$n"ZV@@NB`0[?t?tώ{{7\NP.10
!!:DWa.gݐyԲ;R󵊬|~PL@@
!!:DWa.gݐyԲ;R󵊬|~PL@@
fPt^p
fPt^p
&pJ,c3Mӿua\3yʐʐɛ4QqxTxEndFrmIni@q4z'2qڰ܁
&pJ,c3Mӿua\3yʐʐɛ4QqxTxEndFrmIni@q4z'2qڰ܁
)pޟSL-@H ,>(3#[qxQKgO
Pb4)5h4S_ׯ`
 $6H:L^ϐƿ2ϭ/hzxContr?olDataϽτʄ%ӠSJ@@@$?@XOl6DOEW;jHj
}\jjpS$.@RdVhOO>/:/rSta;rtOutʅ%%ӄ@x8????OO$`CrBOTOfOxOO|__O__d_>_: ^__^Ϩ_o<%`)bh/f.aG) R1wwPbԜc!u`u
)pޟSL-@H ,>(3#[qxQKgO
Pb4)5h4S_ׯ`
 $6H:L^ϐƿ2ϭ/hzxContr?olDataϽτʄ%ӠSJ@@@$?@XOl6DOEW;jHj
}\jjpS$.@RdVhOO>/:/rSta;rtOutʅ%%ӄ@x8????OO$`CrBOTOfOxOO|__O__d_>_: ^__^Ϩ_o<%`)bh/f.aG) R1wwPbԜc!u`u
`bu
`{u@qpĔo@
`bu
`{u@qpĔo@
`ǐĐ3Ǥӯ&s$s2z0Q?h+q!koZVo@o"4G@ 2ȯ:ʩ7YZc.$ߦ
`ǐĐ3Ǥӯ&s$s2z0Q?h+q!koZVo@o"4G@ 2ȯ:ʩ7YZc.$ߦ
#dH0pqwvtpu"ǽuPdžH4U(
#dH0pqwvtpu"ǽuPdžH4U(
1dMPe\.?@[?@*6ёa`laǖfc4U 3b=ѥg0‘s57qot{1@R2߹#uŠ#C߉cĿ5XD0ǀ$u0%`(0Pe5[5EMQ%?@[ߵӰ?P(K~4?5H8P>k22P0GǀT-`p^5x<@@l	@@P}eID}e,3`俘0ix:aۀuP29f20%bҢK<%S=l82coteHyqU`0屏6u.qrm"+aU`t(8氊P,51dgqqVh#pDTa<'>U6ĪKb1SbX'F/f2c       @bXw,EqrL0%o7oIo󆹡D@@V||zhیh=JFeTFe:t%“e2
1dMPe\.?@[?@*6ёa`laǖfc4U 3b=ѥg0‘s57qot{1@R2߹#uŠ#C߉cĿ5XD0ǀ$u0%`(0Pe5[5EMQ%?@[ߵӰ?P(K~4?5H8P>k22P0GǀT-`p^5x<@@l	@@P}eID}e,3`俘0ix:aۀuP29f20%bҢK<%S=l82coteHyqU`0屏6u.qrm"+aU`t(8氊P,51dgqqVh#pDTa<'>U6ĪKb1SbX'F/f2c       @bXw,EqrL0%o7oIo󆹡D@@V||zhیh=JFeTFe:t%“e2
1¥4%A?&8x6D"Rdsk}&߶%?,I?m?tN3????]ZCtrlStartFrmjj@@qNOtzhS/.~~~*ojD+?1O
1¥4%A?&8x6D"Rdsk}&߶%?,I?m?tN3????]ZCtrlStartFrmjj@@qNOtzhS/.~~~*ojD+?1O
X/j/|///7y@FtR///??OOV:o?^o?o??Ho@o4oo#O5O̍XNEndnOOO#еOOOm@>U    _Yu2ZҶm۶m.0|0T1>؉RD__ږ_'p$_S_
oo#5goyooooob@     ?QNFXJ~sOutJ(2j/ϕr:0@F?+sՑg8Qgď   d6пi?@r
X/j/|///7y@FtR///??OOV:o?^o?o??Ho@o4oo#O5O̍XNEndnOOO#еOOOm@>U    _Yu2ZҶm۶m.0|0T1>؉RD__ږ_'p$_S_
oo#5goyooooob@     ?QNFXJ~sOutJ(2j/ϕr:0@F?+sՑg8Qgď   d6пi?@r
ԵݵHZUpZ.JB#œOҎY͌99dY! #p#.ROҾĐl P$-5J[-@D*^0l:L i\r4Ѹߊ*@H<ΑE    2?m %WZyhmXg~(60!,{m7ÜT8?6prQvq}yx<@@Ov΃P!^Pu`u
ԵݵHZUpZ.JB#œOҎY͌99dY! #p#.ROҾĐl P$-5J[-@D*^0l:L i\r4Ѹߊ*@H<ΑE    2?m %WZyhmXg~(60!,{m7ÜT8?6prQvq}yx<@@Ov΃P!^Pu`u
`bu
`u׀@QP@V8
`bu
`u׀@QP@V8
`&1ʂ׃.a鄉0Q#ښZA@q8@4Xgx1*c.$m
`&1ʂ׃.a鄉0Q#ښZA@q8@4Xgx1*c.$m
)HWp:"7@fFlQ(3?.,4&8O@0ş-uPjHbtt~H$Tβҷ(#ӰS$6W IQC2[m܃t&A@'U*JRMC2B3C21V!?^39c
O5WAFeTFeVg{m?JL?'ɟ׭/@.#/5/G-|#@h4F@@nbX,S/AzC8C8YHAUm==Vɏۈ9PXb7G3ց	>,O_BVoϟ;oK]oStar
tIn̨GڢtqBP(5%
)HWp:"7@fFlQ(3?.,4&8O@0ş-uPjHbtt~H$Tβҷ(#ӰS$6W IQC2[m܃t&A@'U*JRMC2B3C21V!?^39c
O5WAFeTFeVg{m?JL?'ɟ׭/@.#/5/G-|#@h4F@@nbX,S/AzC8C8YHAUm==Vɏۈ9PXb7G3ց	>,O_BVoϟ;oK]oStar
tIn̨GڢtqBP(5%
B@@{N(@@β?@%̓ փ$rpspAY"<-+eR[yŹPQ_Q"U`YRaRRMD1.aa-mzzsEyw=R\D`{1GSGS.eajQtT֠qu*1U"111
B@@{N(@@β?@%̓ փ$rpspAY"<-+eR[yŹPQ_Q"U`YRaRRMD1.aa-mzzsEyw=R\D`{1GSGS.eajQtT֠qu*1U"111
1q2ޠy:pHͅօؗΠr@
1q2ޠy:pHͅօؗΠr@
^"2p   `qш }@®ԐFTGSԐؙʽqiӸ$6͌K]oęt`L8ːļ#aT,D`BP⧈Н̇j݈Ӷ8C`&tTB3YBaj䅉l@O`u@9J׋M#0@ڰ%,ޠ~wJ
^"2p   `qш }@®ԐFTGSԐؙʽqiӸ$6͌K]oęt`L8ːļ#aT,D`BP⧈Н̇j݈Ӷ8C`&tTB3YBaj䅉l@O`u@9J׋M#0@ڰ%,ޠ~wJ
`XփЦNtޔ0Dм͆xx`_͆,>H     ;Q$D`aQ&Ϲ%* "Ԉ
-fxߊߔ߮qԜcg5M< De0(fl mW bno9Ƚ%t:N?@%/օD@.r?@ 9b+˪S@,w ՠh_쇰Pr
`XփЦNtޔ0Dм͆xx`_͆,>H     ;Q$D`aQ&Ϲ%* "Ԉ
-fxߊߔ߮qԜcg5M< De0(fl mW bno9Ƚ%t:N?@%/օD@.r?@ 9b+˪S@,w ՠh_쇰Pr
$2pd%; /T##T򌁎 `dVE֬?@~I(#/QD"Qdv]Ԉ&d2L&&yZlӴ,?!hQI:P$^97t?D}:PAj/ugeUx~	@@"H$@@0P(w`0?:DBE?P!3|`1ZKϿK#XUR3=l}F܉؉oo+e_E;cLeFU`0[``RRS`R)RabtARPRRRR*R)R)R5R钫ARAR:SUoDt####+8R3HPX!"]"4 dk~!}aqOD}(=c)wP,.t!`u`u
$2pd%; /T##T򌁎 `dVE֬?@~I(#/QD"Qdv]Ԉ&d2L&&yZlӴ,?!hQI:P$^97t?D}:PAj/ugeUx~	@@"H$@@0P(w`0?:DBE?P!3|`1ZKϿK#XUR3=l}F܉؉oo+e_E;cLeFU`0[``RRS`R)RabtARPRRRR*R)R)R5R钫ARAR:SUoDt####+8R3HPX!"]"4 dk~!}aqOD}(=c)wP,.t!`u`u
`bu
`{uxd@&`6(dsdZ@q
`bu
`{uxd@&`6(dsdZ@q
`s`zaa``dOOJʠE
`s`zaa``dOOJʠE
^PPpr/?@ECG`_?@*Aߢp^ aP{pE>{pL׮{pmۺpZrssps}\P|sz(y
^PPpr/?@ECG`_?@*Aߢp^ aP{pE>{pL׮{pmۺpZrssps}\P|sz(y
yt8%47IsNls`wP&d2qz\ytͻvy?$I$It87rq8A.@Rvv_ҏ#5&,er;oMoP`Bӟ    x2tZGYkx PuPǖyݦ~ztqZYrqZ7c=>Pbtο3EWϼ#@Qz\Xwz,>wTt|i{IGC˧u#b|-{r.q|Y9_`r`(:APTYPCQle!EONEPVj?{@br?@
yt8%47IsNls`wP&d2qz\ytͻvy?$I$It87rq8A.@Rvv_ҏ#5&,er;oMoP`Bӟ    x2tZGYkx PuPǖyݦ~ztqZYrqZ7c=>Pbtο3EWϼ#@Qz\Xwz,>wTt|i{IGC˧u#b|-{r.q|Y9_`r`(:APTYPCQle!EONEPVj?{@br?@
_R'EV*D"UW_`>24`O7o/oAfq4Sf@      Oșz`蟈TX
PD
P0
PgUu/UTՏ^ƫ%5EMQʔ [PtP⚣/!2`K~ϱ07`5H8hz(;F228HG\u 1 ]/Èqac
_R'EV*D"UW_`>24`O7o/oAfq4Sf@      Oșz`蟈TX
PD
P0
PgUu/UTՏ^ƫ%5EMQʔ [PtP⚣/!2`K~ϱ07`5H8hz(;F228HG\u 1 ]/Èqac
 @@ @@˖ӳP"/
 @@ @@˖ӳP"/
10G?1pY?y?30GQҽz^@U*JRG@@pop  уߋV: rppiVg{iiпL'ɟr?Oҿ8tO/&+H\%h<cOt:Ȱʲ?@ &d2ʲ?PoSό<Ұ//`c.h5+bN_s?fqF11fsυIϣϊ4G[0t`4EJG>6,~OPͰ@0>MOR[PHgyX%
10G?1pY?y?30GQҽz^@U*JRG@@pop  уߋV: rppiVg{iiпL'ɟr?Oҿ8tO/&+H\%h<cOt:Ȱʲ?@ &d2ʲ?PoSό<Ұ//`c.h5+bN_s?fqF11fsυIϣϊ4G[0t`4EJG>6,~OPͰ@0>MOR[PHgyX%
ñP@@sR
@@vn@@NV?.63??1O
ñP@@sR
@@vn@@NV?.63??1O
c#r,rsK1Lj|81bj1 OUF( P` v\,'A֛94'B//wMV,w?@   @@d2L&@@TԖ4?4Qih؛J։)Ns9BV09B /`p//ҥ6/$?(>O]2$_/6W@?įGÀ_m0CW<$f
c#r,rsK1Lj|81bj1 OUF( P` v\,'A֛94'B//wMV,w?@   @@d2L&@@TԖ4?4Qih؛J։)Ns9BV09B /`p//ҥ6/$?(>O]2$_/6W@?įGÀ_m0CW<$f
@@Q(±0@,bה*_s]"<)H5e{[?yŹԧQQe"1ҁb151ҳG.bbbbbbbmMo
@@Q(±0@,bה*_s]"<)H5e{[?yŹԧQQe"1ҁb151ҳG.bbbbbbbmMo
QpN<2(        1:Q^U`*`KST^ۄ1mATM ^K0qF=@u     K5`0;Mj0aMg)G
QpN<2(        1:Q^U`*`KST^ۄ1mATM ^K0qF=@u     K5`0;Mj0aMg)G
]2           q$=1N Hc4d3N μ 0BT\k}t`L8⩐) R1`T,RR_=m@*m0)Xн~uWjZ$X2?ҤR?ub3yq"ab 1Cloq@YR2xI0@\0ᠶpEL@wt7j*X7s/BKA0D܀˽Vﶘ
]2           q$=1N Hc4d3N μ 0BT\k}t`L8⩐) R1`T,RR_=m@*m0)Xн~uWjZ$X2?ҤR?ub3yq"ab 1Cloq@YR2xI0@\0ᠶpEL@wt7j*X7s/BKA0D܀˽Vﶘ
VL^$HW?i?]#@BU7Rw@@2vH*Խ0Pea!V`u`u
VL^$HW?i?]#@BU7Rw@@2vH*Խ0Pea!V`u`u
`bu
`uf@1JH`maԀo@!
`bu
`uf@1JH`maԀo@!
`ahpmЬ?O[OmJ/ }E<@aOO
`ahpmЬ?O[OmJ/ }E<@aOO
Ӏ.d37[&.A TCp`TQQ|1U4 2?/@~0#)AqD"v̩]Dκ&2&z(>?!hX,[:$p97?EDCp+P ?@@).OCGj]o߁ߓ߷@
Ӏ.d37[&.A TCp`TQQ|1U4 2?/@~0#)AqD"v̩]Dκ&2&z(>?!hX,[:$p97?EDCp+P ?@@).OCGj]o߁ߓ߷@
Bm&8PtON@Spܓ  {/.z8k,?@q43L7u@u/%l/? ?29pp\3s?vx8"A9Yᦾz9|B!zRw|G"0        wz̅Ƌ|=Dc@pPt?ȳ2D̈Sn8؟,HOOZ5Fl6#7pVsV(О2
Bm&8PtON@Spܓ  {/.z8k,?@q43L7u@u/%l/? ?29pp\3s?vx8"A9Yᦾz9|B!zRw|G"0        wz̅Ƌ|=Dc@pPt?ȳ2D̈Sn8؟,HOOZ5Fl6#7pVsV(О2
sDP߆OOOOO_$0LI_7@bV浰bp8nA?~΃S#S,'QLKπL1U70?oQocooosoo*82
sDP߆OOOOO_$0LI_7@bV浰bp8nA?~΃S#S,'QLKπL1U70?oQocooosoo*82
e]eZIAj_!!DұC.!ƠB&/=+ZHO-=0L@~p+kP ‰ϛϭ"\הǰ\(Lɋ+īeO4T?TxDoneIniU4'2qV~q5)`B%):aD7|:$?@@@L2Qflv(q//úP
e]eZIAj_!!DұC.!ƠB&/=+ZHO-=0L@~p+kP ‰ϛϭ"\הǰ\(Lɋ+īeO4T?TxDoneIniU4'2qV~q5)`B%):aD7|:$?@@@L2Qflv(q//úP
?e˲?1O
?e˲?1O
߲4ҜAO<M@bX,s3v߈@úԿt%“j?==A0OBK]o//6
_%/1_/U_m/G/_@___//UsedData/
߲4ҜAO<M@bX,s3v߈@úԿt%“j?==A0OBK]o//6
_%/1_/U_m/G/_@___//UsedData/
??.?oR?d:|=|8ߚ?3[n
??.?oR?d:|=|8ߚ?3[n
@@1@@>Es94CƷ'@
@@1@@>Es94CƷ'@
DxdOI`DOOOOO_oEv|_{_ď__v0oo? edAbortWoio{o
DxdOI`DOOOOO_oEv|_{_ď__v0oo? edAbortWoio{o
o    [
o    [
B@@fl6BpmVorpp~ú!*3{ND&$6HZl^pVտF χAzğ֟
B@@fl6BpmVorpp~ú!*3{ND&$6HZl^pVտF χAzğ֟
!,T8[°v4pCU?JM\'"<)|r;OMO߯qOONЩD65GYk}oρڏW1ϫ-QϝϯAiUo02;2
!,T8[°v4pCU?JM\'"<)|r;OMO߯qOONЩD65GYk}oρڏW1ϫ-QϝϯAiUo02;2
$G@بu\.?@e_?@*UkDjvkgrypB&Ge1?|Q7aoqbk@xL&886O$H095}FH/.@`+u~@@_|>,0=r?0[WԤdKdKֱ:3=l}j7
$G@بu\.?@e_?@*UkDjvkgrypB&Ge1?|Q7aoqbk@xL&886O$H095}FH/.@`+u~@@_|>,0=r?0[WԤdKdKֱ:3=l}j7
      D*="(3wP
      D*="(3wP
K`T`"Va``1H`Lb]`T``Zf`fd OPKSPEI>|@'crktO@UO`M]4vP``8^b   _}V_[Je[a`h>x0է[r8_c{BiW: H/_L-AA@@6N@@ovS@/9A*!v`u
K`T`"Va``1H`Lb]`T``Zf`fd OPKSPEI>|@'crktO@UO`M]4vP``8^b   _}V_[Je[a`h>x0է[r8_c{BiW: H/_L-AA@@6N@@ovS@/9A*!v`u
`bu
`{ut@/{o@Lq
`bu
`{ut@/{o@Lq
`o
`o
v~{2?4֒oodO+O=A&ZVd(wH@'ӕՓ֒oPwyp;N~:d.$Xm4Ҫ6M!(@tjO]'H8vJ^Hx_*ߜ\KnP~@@Q?@ O`"/PQ:DxRyչ2a0T9'М$I$I߭e%      +̰9EWiLURv"ǒ_9dP
v~{2?4֒oodO+O=A&ZVd(wH@'ӕՓ֒oPwyp;N~:d.$Xm4Ҫ6M!(@tjO]'H8vJ^Hx_*ߜ\KnP~@@Q?@ O`"/PQ:DxRyչ2a0T9'М$I$I߭e%      +̰9EWiLURv"ǒ_9dP
!From eth_txmac
!From eth_txmac
module瀸Ϳ߿?d2L&@@.-?SR1mA7?rϖϨϺʫ0B4F1Uy߀?+Pbt&To⹬tCn:6S7 JAUQcuʈ"!%?2?V?????/At_wishbonEe8H$@@1@@G?8@CR,S;#cuϔg@ٟSR/-?P(
module瀸Ϳ߿?d2L&@@.-?SR1mA7?rϖϨϺʫ0B4F1Uy߀?+Pbt&To⹬tCn:6S7 JAUQcuʈ"!%?2?V?????/At_wishbonEe8H$@@1@@G?8@CR,S;#cuϔg@ٟSR/-?P(
@Nt
@Nt
@@x@ AfSRRSSR_noԀp Hd@z3@E`Io[b?XX*lRb"*<??r`N?` {A?uaz^_@@l0[3?@`&_d2?tuJʛ__qdaۀ.*DxB*4!!V/h/z/Q_ґypĘ////o?Ol6v?#w??9oO"O4IP?auseip4k'2Kq_q        P^ab)GVpԆtkF,FϣhpQBz
@@x@ AfSRRSSR_noԀp Hd@z3@E`Io[b?XX*lRb"*<??r`N?` {A?uaz^_@@l0[3?@`&_d2?tuJʛ__qdaۀ.*DxB*4!!V/h/z/Q_ґypĘ////o?Ol6v?#w??9oO"O4IP?auseip4k'2Kq_q        P^ab)GVpԆtkF,FϣhpQBz
?@
?@
£?ѤۣGr
£?ѤۣGr
\"<)WHk0([nŹB+[Q "RfjRRģ.jffr)x~]U`Ńe΁=@P_tvpaqĮ*&"&&&
\"<)WHk0([nŹB+[Q "RfjRRģ.jffr)x~]U`Ńe΁=@P_tvpaqĮ*&"&&&
&2A2(9D:kҥۥTsqkwpD<ו5EMQpb1mƁ|pPɤq=@·a@Jp`q=@
 օ
&2A2(9D:kҥۥTsqkwpD<ו5EMQpb1mƁ|pPɤq=@·a@Jp`q=@
 օ
q@"{@6"gTQώp
q@"{@6"gTQώp
nBp  @q3@-ם@x< Ҭ¸ 2D^|^h^T^@^,*^^vpfSTtga3@pѧjZ3?㓄!~a4d3.#!X$?0dYTd^Ńl@9AҀՕ`J߀os0Eǀ؞ߗBҀXۣRڗ
nBp  @q3@-ם@x< Ҭ¸ 2D^|^h^T^@^,*^^vpfSTtga3@pѧjZ3?㓄!~a4d3.#!X$?0dYTd^Ńl@9AҀՕ`J߀os0Eǀ؞ߗBҀXۣRڗ
i0j
i0j
DЀ
ҦMM
4
ҦIH{q$‘@aF*R٨;PM_qqĄσև5Melp5@plm' bnDܥt:N?@_%;('&ۥ.@.r|09b+#@, &`h4P|:Aߕ
DЀ
ҦMM
4
ҦIH{q$‘@aF*R٨;PM_qqĄσև5Melp5@plm' bnDܥt:N?@_%;('&ۥ.@.r|09b+#@, &`h4P|:Aߕ
pd$6&'>a9TvpTpBAГEe'R?@~פ(C/&DB(9/K/]Ąr&
pd$6&'>a9TvpTpBAГEe'R?@~פ(C/&DB(9/K/]Ąr&
|Fd2L&|F/ݙ/_ZAhqZD3YWI_DvpT(   >OUAYNz8k,?@q43Le!eO&lOOOIpŨp\3c?fh8oaq95Yjle@B!z3gl(~o3owguo{m1z=Dcy@pPod }%x4Oho
sHwT,$OA
|Fd2L&|F/ݙ/_ZAhqZD3YWI_DvpT(   >OUAYNz8k,?@q43Le!eO&lOOOIpŨp\3c?fh8oaq95Yjle@B!z3gl(~o3owguo{m1z=Dcy@pPod }%x4Oho
sHwT,$OA
_TB&P,%/7/mo[/m+aE"*_/nH/2+(Xv0D^u ~05E"|$
sa[ݠ `[ۥ?0BK~K5H8˫û
_TB&P,%/7/mo[/m+aE"*_/nH/2+(Xv0D^u ~05E"|$
sa[ݠ `[ۥ?0BK~K5H8˫û
2ԥ2ݥ{PΜp ٕ_Gņ4@ŒP(
2ԥ2ݥ{PΜp ٕ_Gņ4@ŒP(
@@lV      @@P&d2RpIùŹ* ZϠZ旗Maۀ5}7ƙ    M9`c.M!!5Ƙ`ǪbjЭ<uea\УHԃ:0m??J"`(18 AŠ۳ڴǭg>P#`p|<&U`䪸1ڐ
/4@@b*|Q-8QLʰ(:BԲP0&w 
@@lV      @@P&d2RpIùŹ* ZϠZ旗Maۀ5}7ƙ    M9`c.M!!5Ƙ`ǪbjЭ<uea\УHԃ:0m??J"`(18 AŠ۳ڴǭg>P#`p|<&U`䪸1ڐ
/4@@b*|Q-8QLʰ(:BԲP0&w 
%$(=ٷL6i?@R&0BjԔ񰴙m카BS)`d
%$(=ٷL6i?@R&0BjԔ񰴙m카BS)`d
/w
20J|
/w
20J|
<=[k}l#
<=[k}l#
 *9QX_%q ۨ#h~(6+Oa..//Te4@-@@ПvP^3PA*!u`u
 *9QX_%q ۨ#h~(6+Oa..//Te4@-@@ПvP^3PA*!u`u
`bu
`u4/@m44@Q0011004u`0u(9      
`bu
`u4/@m44@Q0011004u`0u(9      
03@7B0Q)D1HEǶ
S0u  b@EWd.$MOa@,H⪑1`7B"6CO7BSG@CZ_(aa]vPa|QP606m']?][PxȰ?&>.`z^@@"H$@@gBpTl`fe6DYSWBVDJ
03@7B0Q)D1HEǶ
S0u  b@EWd.$MOa@,H⪑1`7B"6CO7BSG@CZ_(aa]vPa|QP606m']?][PxȰ?&>.`z^@@"H$@@gBpTl`fe6DYSWBVDJ
       D*=C?Ln¶6O"-C"`:@ʖ#pFF.q8p p$r5pEp2vEp>q<L@"qr>'ZRP:d`xPR_cfe_b
K3@`8p%6r\ovg(_bn
GTIV1`
@}6r-mT0`Uˑ       )p"4O|"@oL@   
       D*=C?Ln¶6O"-C"`:@ʖ#pFF.q8p p$r5pEp2vEp>q<L@"qr>'ZRP:d`xPR_cfe_b
K3@`8p%6r\ovg(_bn
GTIV1`
@}6r-mT0`Uˑ       )p"4O|"@oL@   

#$%&'()^U
d@B@r1($EBB>

#$%&'()^U
d@B@r1($EBB>
N-"n@ĝ?R'DH'\\Ve\Kyocera Mita FS-1000+4CdXXLetter@O_zDINU"4%T
N-"n@ĝ?R'DH'\\Ve\Kyocera Mita FS-1000+4CdXXLetter@O_zDINU"4%T
c`     -?Qcu//)/;/M/_/q////%dPage-1EE NormalLogic gates 2
AskOnDrop
Input
TypeyO/YeLabeSub410MarginWhXWhite linevisPlacementStyleuDepthuRouotingRevLoToClearanceXs70Y9     7Nod   1p}3GriddBlockSiz2YuAvewnue<HaRYShvControlsAs,sl esx=uJumpPlow#Arial ceredtop leftTim*verwF.858!(%.1388/147`,64q/90P'97_3  U k [ G U? 1    !3 ̪     q ` P > .  3
0
0
0
0
0t
0m
0b
0X
0N
0G
0@
08
0,
0
0
~
0*@4O|"@oL !
*
#4l 2@BLE><)_c$CUgy

c`     -?Qcu//)/;/M/_/q////%dPage-1EE NormalLogic gates 2
AskOnDrop
Input
TypeyO/YeLabeSub410MarginWhXWhite linevisPlacementStyleuDepthuRouotingRevLoToClearanceXs70Y9     7Nod   1p}3GriddBlockSiz2YuAvewnue<HaRYShvControlsAs,sl esx=uJumpPlow#Arial ceredtop leftTim*verwF.858!(%.1388/147`,64q/90P'97_3  U k [ G U? 1    !3 ̪     q ` P > .  3
0
0
0
0
0t
0m
0b
0X
0N
0G
0@
08
0,
0
0
~
0*@4O|"@oL !
*
#4l 2@BLE><)_c$CUgy

 !@
 !@
=DIjo>+#"%&'($       '1($l 2EBdI
=DIjo>+#"%&'($       '1($l 2EBdI
<$6F?X|FArialtܜs        g([0V@(*0;84ptYsR{8^ҿb&BJ!D})Z`lC_=wz,UX$_ ks){'w\_HO2F7[G8?wl_DʷLGUDw{ˏH77<@}{.
<$6F?X|FArialtܜs        g([0V@(*0;84ptYsR{8^ҿb&BJ!D})Z`lC_=wz,UX$_ ks){'w\_HO2F7[G8?wl_DʷLGUDw{ˏH77<@}{.
!Oh+'0@HXdp|igormGs1\@      9&" WMFC@ \(\Hzj1\@ EMF\H\lJ        VISIODrawing
!Oh+'0@HXdp|igormGs1\@      9&" WMFC@ \(\Hzj1\@ EMF\H\lJ        VISIODrawing
 |l%&%W0zjjzjzj%%(&%
'%%(%%W$%%(&%
'%%(%%W$%%(&%
'%%(%%W$
%%(&%
'%%(%%W$
%%(&%
'%%(%%W$:=%%(&%
'%%(%%W$,*%%(&%
'%%(%%W$(H*F%%(&%
'%%(%%W$D[FY%%(&%
'%%(%%W$[Y%%(&%
'%%(%%W$':-*=*%%(&%
'%%(%%W$CdIFgF%%(&%
'%%(%%W$`wbu%%(&%
'%%(%%W$su%%(&%
'%%(%%W$%%(&%
'%%(%%W$`b%%(&%
'%%(%%W$r:yv=u%%(&%
'%%(%%W$
:M=J%%(&%
'%%(%%W$%%(&%
'%%(%%W$%%(&%
'%%(%%W$~%%(&%
'%%(%%W$~%%(&%
'%%(%%W$axvc%%(&%
'%%(%%W$EecG%%(&%
'%%(%%W$2IG4%%(&%
'%%(%%W$2xv4%%(&%
'%%(%%W$h:nDk=kA%%(&%
'%%(%%W(477%%(%
'%V,%(%&%
'%%(%%W(%%(%
'%V,%(%&%
'%%(%%W(%%(%
'%V,%(%&%
'%%(%%&" WMFC \\HW(%%(%
'%V,%(%&%
'%%(%%W(WTT%%(%
'%V,%(%&%
'%%(%%W(      %%(%
'%V,%(%&%
'%%(%%ZDkmm      %%(&%
'%VPu
 w{ |{w %(%(&%
'%VDu
 |l%&%W0zjjzjzj%%(&%
'%%(%%W$%%(&%
'%%(%%W$%%(&%
'%%(%%W$
%%(&%
'%%(%%W$
%%(&%
'%%(%%W$:=%%(&%
'%%(%%W$,*%%(&%
'%%(%%W$(H*F%%(&%
'%%(%%W$D[FY%%(&%
'%%(%%W$[Y%%(&%
'%%(%%W$':-*=*%%(&%
'%%(%%W$CdIFgF%%(&%
'%%(%%W$`wbu%%(&%
'%%(%%W$su%%(&%
'%%(%%W$%%(&%
'%%(%%W$`b%%(&%
'%%(%%W$r:yv=u%%(&%
'%%(%%W$
:M=J%%(&%
'%%(%%W$%%(&%
'%%(%%W$%%(&%
'%%(%%W$~%%(&%
'%%(%%W$~%%(&%
'%%(%%W$axvc%%(&%
'%%(%%W$EecG%%(&%
'%%(%%W$2IG4%%(&%
'%%(%%W$2xv4%%(&%
'%%(%%W$h:nDk=kA%%(&%
'%%(%%W(477%%(%
'%V,%(%&%
'%%(%%W(%%(%
'%V,%(%&%
'%%(%%W(%%(%
'%V,%(%&%
'%%(%%&" WMFC \\HW(%%(%
'%V,%(%&%
'%%(%%W(WTT%%(%
'%V,%(%&%
'%%(%%W(      %%(%
'%V,%(%&%
'%%(%%ZDkmm      %%(&%
'%VPu
 w{ |{w %(%(&%
'%VDu
ww     %(%(&%
'%[PIb
ww     %(%(&%
'%[PIb
K^^KK^_`_^%(%(&%
'%%(%%W$
\yv_%%(&%
'%%(%%W(yv%%(&%
'%%(%%ZD;6PsN8NT=8=TFqFT%%(&%
'%VP5@Vi
Fg?a:X7M7B>FFGMFTBTMQXLaFg%(%(&%
'%VD5@Vi
K^^KK^_`_^%(%(&%
'%%(%%W$
\yv_%%(&%
'%%(%%W(yv%%(&%
'%%(%%ZD;6PsN8NT=8=TFqFT%%(&%
'%VP5@Vi
Fg?a:X7M7B>FFGMFTBTMQXLaFg%(%(&%
'%VD5@Vi
7X7BTBTXR`MeFg>e9`7X%(%(&%
'%[PBY.
7X7BTBTXR`MeFg>e9`7X%(%(&%
'%[PBY.
DD)W)WDM)L*M,O*M)%(%(&%
'%%(%%W$J'PDMAM*%%(&%
'%%(%%W$DdJGgG%%(&%
'%%(%%W(AD>>A%%(&%
'%%(%%ZDY6nsl8lT[8[TcqcT%%(&%
'%VPS@si
cg]aXXUMUB\FcGkFqBqMoXjacg%(%(&%
'%VDS@si
DD)W)WDM)L*M,O*M)%(%(&%
'%%(%%W$J'PDMAM*%%(&%
'%%(%%W$DdJGgG%%(&%
'%%(%%W(AD>>A%%(&%
'%%(%%ZDY6nsl8lT[8[TcqcT%%(&%
'%VPS@si
cg]aXXUMUB\FcGkFqBqMoXjacg%(%(&%
'%VDS@si
UXUBqBqXp`jecg\eW`UX%(%(&%
'%%(%%W$`dfcgc%%(&%
'%%(%%W(%%(&%
'%%(%%W(K5^DN8[8ZA%%(&%
'%%(%%ZD6s8T8TqT%%(&%
'%VP@i
gaXMBFGFBMXag%(%(&%
'%VD@i
UXUBqBqXp`jecg\eW`UX%(%(&%
'%%(%%W$`dfcgc%%(&%
'%%(%%W(%%(&%
'%%(%%W(K5^DN8[8ZA%%(&%
'%%(%%ZD6s8T8TqT%%(&%
'%VP@i
gaXMBFGFBMXag%(%(&%
'%VD@i
XBBX`ege`X%(%(&%
'%%(%%W$dg%%(&%
'%%(%%W(X5D[88A%%(&%
'%V@W4`<	W8X5[4^5`8^;[<X;W8%(%(&%
'%%(%%W$:DA=%%(&%
'%%(%%W(%%(&%
'%%(%%ZD:6OsM8MT<8<TEqET%%(&%
D&~WMFC\\H'%VP4@Ui
Eg>a9X6M6B=FEGLFSBSMPXKaEg%(%(&%
'%VD4@Ui
XBBX`ege`X%(%(&%
'%%(%%W$dg%%(&%
'%%(%%W(X5D[88A%%(&%
'%V@W4`<	W8X5[4^5`8^;[<X;W8%(%(&%
'%%(%%W$:DA=%%(&%
'%%(%%W(%%(&%
'%%(%%ZD:6OsM8MT<8<TEqET%%(&%
D&~WMFC\\H'%VP4@Ui
Eg>a9X6M6B=FEGLFSBSMPXKaEg%(%(&%
'%VD4@Ui
6X6BSBSXQ`LeEg>e8`6X%(%(&%
'%[PAX.
6X6BSBSXQ`LeEg>e8`6X%(%(&%
'%[PAX.
CC)V)VCL)K*L,N*L)%(%(&%
'%%(%%W$I'ODLAL*%%(&%
'%V@8A 8:=@A@=:8%(%(&%
'%%(%%W(JMM%%(&%
'%%(%%W$:@D=A=%%(&%
'%%(%%W$I:OLL=%%(&%
'%%(%%W(%%(--%jzjzj-------%-------%-------%
-------%
-------%=-------%*-------%*F-------%FY-------%Y-------%*=*-------%FgF-------%bu-------%u-------%-------%b-------%v=u-------%=J-------%-------%-------%-------%-------%vc-------%cG-------%G4-------%v4-------%k=kA-------
CC)V)VCL)K*L,N*L)%(%(&%
'%%(%%W$I'ODLAL*%%(&%
'%V@8A 8:=@A@=:8%(%(&%
'%%(%%W(JMM%%(&%
'%%(%%W$:@D=A=%%(&%
'%%(%%W$I:OLL=%%(&%
'%%(%%W(%%(--%jzjzj-------%-------%-------%
-------%
-------%=-------%*-------%*F-------%FY-------%Y-------%*=*-------%FgF-------%bu-------%u-------%-------%b-------%v=u-------%=J-------%-------%-------%-------%-------%vc-------%cG-------%G4-------%v4-------%k=kA-------
%77----$-------
%77----$-------
%----$-------
%----$-------
%----$-------
%----$-------
%----$-------
%----$-------
%TT----$-------
%TT----$-------
%      ----$-------%m%m%      ----$
     w{ |{w ----$
%      ----$-------%m%m%      ----$
     w{ |{w ----$
ww       ----8K^^KK^_`_^-------%v_-------
ww       ----8K^^KK^_`_^-------%v_-------
%v-------%N8NT%=8=T%FqFT----$
Fg?a:X7M7B>FFGMFTBTMQXLaFg----$
%v-------%N8NT%=8=T%FqFT----$
Fg?a:X7M7B>FFGMFTBTMQXLaFg----$
7X7BTBTXR`MeFg>e9`7X----8DD)W)WDM)L*M,O*M)-------%MAM*-------%GgG-------
7X7BTBTXR`MeFg>e9`7X----8DD)W)WDM)L*M,O*M)-------%MAM*-------%GgG-------
%>>A-------%l8lT%[8[T%cqcT----$
cg]aXXUMUB\FcGkFqBqMoXjacg----$
%>>A-------%l8lT%[8[T%cqcT----$
cg]aXXUMUB\FcGkFqBqMoXjacg----$
UXUBqBqXp`jecg\eW`UX-------%cgc-------
UXUBqBqXp`jecg\eW`UX-------%cgc-------
%-------
%-------
%N8[8ZA-------%8T%8T%qT----$
gaXMBFGFBMXag----$
%N8[8ZA-------%8T%8T%qT----$
gaXMBFGFBMXag----$
XBBX`ege`X-------%g-------
XBBX`ege`X-------%g-------
%[88A----$       W8X5[4^5`8^;[<X;W8-------%A=-------
%[88A----$       W8X5[4^5`8^;[<X;W8-------%A=-------
%-------%M8MT%<8<T%EqET----$
Eg>a9X6M6B=FEGLFSBSMPXKaEg----$
%-------%M8MT%<8<T%EqET----$
Eg>a9X6M6B=FEGLFSBSMPXKaEg----$
6X6BSBSXQ`LeEg>e8`6X----8CC)V)VCL)K*L,N*L)-------%LAL*----$     8:=@A@=:8-------
6X6BSBSXQ`LeEg>e8`6X----8CC)V)VCL)K*L,N*L)-------%LAL*----$     8:=@A@=:8-------
%MM-------%=A=-------%LL=-------
%MM-------%=A=-------%LL=-------
%--      ՜.+,D՜.+,$@HP\ht
$PagesMastersPage-1Logic gates 2
%--      ՜.+,D՜.+,$@HP\ht
$PagesMastersPage-1Logic gates 2
Inverter0dlt_VPID_PREVIEWS_PID_LINKBASE$A_1097412391FwywyOle
Inverter0dlt_VPID_PREVIEWS_PID_LINKBASE$A_1097412391FwywyOle
CompObjiObjInfo
CompObjiObjInfo
FVISIO 5 DrawingVISIO 5.0 ShapesVisio.Drawing.59q՜.+,D՜.+,@HP\ht
$PagesPage-10VisioDocument*'VisioInformation"SummaryInformation(|,DocumentSummaryInformation8tVisio (TM) Drawing
FVISIO 5 DrawingVISIO 5.0 ShapesVisio.Drawing.59q՜.+,D՜.+,@HP\ht
$PagesPage-10VisioDocument*'VisioInformation"SummaryInformation(|,DocumentSummaryInformation8tVisio (TM) Drawing
*'Rm%Pl !fffMMM3?33
*'Rm%Pl !fffMMM3?33
ҕq0T
ҕq0T
d Arial)-":-3Times New RoWman)-3RWingds5TF? Y@-1''J/T 
d Arial)-":-3Times New RoWman)-3RWingds5TF? Y@-1''J/T 
hTJbOSS0{Gz?@CPjV]uVbUUO
hTJbOSS0{Gz?@CPjV]uVbUUO
OS?
OS?
T666
NP       U
T666
NP       U

UvPaC G#G#G#G#G#G#G &H#O`$$!>'^M4!>7    i>}p'0U.2?E!-67gjP>603206&0B!4?6`2GT2k=\-        2
VT?@96L^puI5L2?Op??ZX0F6ȍ03P@?_?V6CG
mON9:OOOOMjYgPJ?@_|Yoo2
q`?of.fT+Rjjg4G
0kD_ąO?oogO贁Nkro8wYo}rTih54?t51w1%f3)u"7E@ 0QU#$0%
yfKo??LgitCu6kPJT9 79?)fi2wT     < rj-qr?16__:.EX!+=Oj$z__G        3uÛ٘JQDVhz¯BTf@

UvPaC G#G#G#G#G#G#G &H#O`$$!>'^M4!>7    i>}p'0U.2?E!-67gjP>603206&0B!4?6`2GT2k=\-        2
VT?@96L^puI5L2?Op??ZX0F6ȍ03P@?_?V6CG
mON9:OOOOMjYgPJ?@_|Yoo2
q`?of.fT+Rjjg4G
0kD_ąO?oogO贁Nkro8wYo}rTih54?t51w1%f3)u"7E@ 0QU#$0%
yfKo??LgitCu6kPJT9 79?)fi2wT     < rj-qr?16__:.EX!+=Oj$z__G        3uÛ٘JQDVhz¯BTf@
(U#ǿsy*πqÛ ToxϊϜY$K]o߀ߓ߮߷V%  -?Zcuӯ- ,DC=t@`0@,FT L*H}hGTFž#@FR
(U#ǿsy*πqÛ ToxϊϜY$K]o߀ߓ߮߷V%  -?Zcuӯ- ,DC=t@`0@,FT L*H}hGTFž#@FR
T@Fx
T@Fx
BP(?VIzbb-4
WJJJKb:bJ  !|!>ub !
BP(?VIzbb-4
WJJJKb:bJ  !|!>ub !
  %*
  %*
bY%?l-"a(P '
bY%?l-"a(P '
s*u`TF!" 'u)& !4        %Je^o֙G?0
s*u`TF!" 'u)& !4        %Je^o֙G?0
H!C"G"KJ 
H!C"G"KJ 
js@~@@V*JR@@L&Od2}(3}',!u`u
js@~@@V*JR@@L&Od2}(3}',!u`u
`bu
`u444G@I001-A008D+?OA8^A?/3!  !B06i?'

Fb
$@@J2      8\.7M0t` yGsz_@@tQ+\(SSQS]JRVCNPCW]B}S]WCZ8u@?2l^UIaPaQP~/ ++?]_@+ ?2e00k?}?:<@T2@@?@H5N5c٦e?,&Ww	R"7Z|	V;G(YTQ1P_CSOeOwOD1Cyr00b/?Hbr'vF50AU_[fo0g ;__,P4@R6aTKfe0KT_befArm`1je|>@@@@B?@k4F+?
`bu
`u444G@I001-A008D+?OA8^A?/3!  !B06i?'

Fb
$@@J2      8\.7M0t` yGsz_@@tQ+\(SSQS]JRVCNPCW]B}S]WCZ8u@?2l^UIaPaQP~/ ++?]_@+ ?2e00k?}?:<@T2@@?@H5N5c٦e?,&Ww	R"7Z|	V;G(YTQ1P_CSOeOwOD1Cyr00b/?Hbr'vF50AU_[fo0g ;__,P4@R6aTKfe0KT_befArm`1je|>@@@@B?@k4F+?
gcT1RZ3P1P#Z1Rk&16H~! NPRBPRqL@U`uvPtR_5Y:^
gcT1RZ3P1P#Z1Rk&16H~! NPRBPRqL@U`uvPtR_5Y:^
z6a0Lί8)ġ4nWBiJb'298!ȯrP`11e0`0ɏۏl6f -? ?ʡEZl~J`1C*.K߼oߨޯYE8J\n(Aʼno۱7xe!H$? @@5C@@`eC?-6Uz    QZv#_7ZfϨϺϊCxD0ᑨJBAB#b}-BbbLz      Is PsbvX"4&8W r!c0 $\%
<`/@rb1Ҷ]phh:iv4WUB"ů%)e0Aƿؿ
3K5Az$0#fPQcuU7Z R|, K2ߖ^{&?N?'?"O?FO?jOM_q]8wb_master32.v(/[ol0ez_^@TU#UVXot7ZZq~G4J??bHPgbZ?l32rb    }0t5w??HOOrWo4O\^6tOIf@oGSPJQqVSWtUy0džËPR
z6a0Lί8)ġ4nWBiJb'298!ȯrP`11e0`0ɏۏl6f -? ?ʡEZl~J`1C*.K߼oߨޯYE8J\n(Aʼno۱7xe!H$? @@5C@@`eC?-6Uz    QZv#_7ZfϨϺϊCxD0ᑨJBAB#b}-BbbLz      Is PsbvX"4&8W r!c0 $\%
<`/@rb1Ҷ]phh:iv4WUB"ů%)e0Aƿؿ
3K5Az$0#fPQcuU7Z R|, K2ߖ^{&?N?'?"O?FO?jOM_q]8wb_master32.v(/[ol0ez_^@TU#UVXot7ZZq~G4J??bHPgbZ?l32rb    }0t5w??HOOrWo4O\^6tOIf@oGSPJQqVSWtUy0džËPR
Tؔ__^H PYbto*o
Tؔ__^H PYbto*o
>^Jf]b^$n^"p^*4p^I2^:p^B^J^R^Z$ΐ^bڐ^j^Ir_ ^z^w^^"^$.^:^F^IR^^^^y0^^ڐ^i^qp^;pcbUccccUccкcвcUcТcКcВcUcЂczcrcUjcbcZcRcUJcBc:c2cU*c"ccc]
>^Jf]b^$n^"p^*4p^I2^:p^B^J^R^Z$ΐ^bڐ^j^Ir_ ^z^w^^"^$.^:^F^IR^^^^y0^^ڐ^i^qp^;pcbUccccUccкcвcUcТcКcВcUcЂczcrcUjcbcZcRcUJcBc:c2cU*c"ccc]
c!HT7/{hK1tU}UP(
c!HT7/{hK1tU}UP(
@@p8+85?<5/UMZr=
@@p8+85?<5/UMZr=
ףp|MbX9+o܏?0?2
q?02 (0JJJ69xon5hf~?oo1+=xD(yX|OOIUUT
ףp|MbX9+o܏?0?2
q?02 (0JJJ69xon5hf~?oo1+=xD(yX|OOIUUT
(Ethernet_ MAC)FDIZ!T(R@!0U?2X98!^d|t=Pg=Rz0P?(/03L"0C&D9&m*m'`!L$?T&'t*P?Rkd ?REPEK_]_oZp#!@@|>XbX?@H2?F4N5_愒YyOGzZL#Z]=
(Ethernet_ MAC)FDIZ!T(R@!0U?2X98!^d|t=Pg=Rz0P?(/03L"0C&D9&m*m'`!L$?T&'t*P?Rkd ?REPEK_]_oZp#!@@|>XbX?@H2?F4N5_愒YyOGzZL#Z]=
jw2acElbE0)B? D(FpdacBzwoAw(Zo     xvRH5OjDG>&_       V_Oz_
jw2acElbE0)B? D(FpdacBzwoAw(Zo     xvRH5OjDG>&_       V_Oz_
HV/
??M"H$ @@G`0g@@PQ5Uc?]dh?pz1\QѨ}????QQ&O8OJO\OnOOO@OO__8_CVTgh_DUn4_Yd___@Y] oo-oq?p1H5U*JGRa_0lwoJq:=? )\(ooo
HV/
??M"H$ @@G`0g@@PQ5Uc?]dh?pz1\QѨ}????QQ&O8OJO\OnOOO@OO__8_CVTgh_DUn4_Yd___@Y] oo-oq?p1H5U*JGRa_0lwoJq:=? )\(ooo
4FXj| ʏFCV bvDcBȉrҏRTߓ!-5jZ1LQ2     @@vn?@Q2?pxŹ|_OnbzIW+꤄2⟀"DA8ͯ߯=Gqƨ߄xĿֹ<`Seth_top.v9	ernet#5'965NG?Y?
4FXj| ʏFCV bvDcBȉrҏRTߓ!-5jZ1LQ2     @@vn?@Q2?pxŹ|_OnbzIW+꤄2⟀"DA8ͯ߯=Gqƨ߄xĿֹ<`Seth_top.v9	ernet#5'965NG?Y?
;|"Ԟ??o(zV Du2BTfxCVbB_I_O_OEOV;M_~*Cx< |>@@NtxȳS"WC+3b/$4?*X?xdP6bhzO O~O_FVoO.2_dozohwb_bus_monitor     //-/?/5e_w__s!H$ @@r@@THmz҃_"ꦚ#$(ܒo0oBoTofo(6oooooF҆     l-هI!Eǯmaster_beha?vioral6HZl5\.?@xx WQʚ=Oas6بDß՟F.ߋRXvӯ<߾(>slavePbt*ͿU&__U#_/oj|ώϠϲ`"HRv`L,>0 Y/k/}/."(#@@`0@@?9$?B$/U4u+4QH
;|"Ԟ??o(zV Du2BTfxCVbB_I_O_OEOV;M_~*Cx< |>@@NtxȳS"WC+3b/$4?*X?xdP6bhzO O~O_FVoO.2_dozohwb_bus_monitor     //-/?/5e_w__s!H$ @@r@@THmz҃_"ꦚ#$(ܒo0oBoTofo(6oooooF҆     l-هI!Eǯmaster_beha?vioral6HZl5\.?@xx WQʚ=Oas6بDß՟F.ߋRXvӯ<߾(>slavePbt*ͿU&__U#_/oj|ώϠϲ`"HRv`L,>0 Y/k/}/."(#@@`0@@?9$?B$/U4u+4QH
:HΚH?
:HΚH?
2???????O6(:L"\CfC29'?H:.O&IO? mO"_4_F_XY/3p@@bX?@H•FUOU\/U=
2???????O6(:L"\CfC29'?H:.O&IO? mO"_4_F_XY/3p@@bX?@H•FUOU\/U=
ףp=ZH Q
ףp=ZH Q
džwlĹ////@Hb9&?8=I?[?m?????OO96rGWo[OH^'OIWo{OOOI~cP(
džwlĹ////@Hb9&?8=I?[?m?????OO96rGWo[OH^'OIWo{OOOI~cP(
BGPU%wM×P-DT! @\b!`u`u
BGPU%wM×P-DT! @\b!`u`u
`U`u
`u5@cP@aa؏
`U`u
`u5@cP@aa؏
S$L00b?/?4g5Ad]B1bb^G+ D"L^pNрLKKLA!UG@`SKenltfx%7rWPNi}4rl  .)%7IYD        ?L,?S&죥ɿۿ#5,^06/i#;@edS@AŅϱ ԆG'H>цsSy
S$L00b?/?4g5Ad]B1bb^G+ D"L^pNрLKKLA!UG@`SKenltfx%7rWPNi}4rl  .)%7IYD        ?L,?S&죥ɿۿ#5,^06/i#;@edS@AŅϱ ԆG'H>цsSy
j8o{ߍߟѭ 2DV_qx<@@|>     @PW|ٌ'9K]o2
4"m˵@~ߞɧ噊3D2D7Ѹ"y3#'z?>Ѹ"37#:@@.@RdvVh2  @PA
//1/C/U/g/y//1f@dR/r#:B?"ȃ?
j8o{ߍߟѭ 2DV_qx<@@|>     @PW|ٌ'9K]o2
4"m˵@~ߞɧ噊3D2D7Ѹ"y3#'z?>Ѹ"37#:@@.@RdvVh2  @PA
//1/C/U/g/y//1f@dR/r#:B?"ȃ?
ףp=
ףp=
RcS'Ҭo>RcgSj???OJO(OJ^OpOOOO*TBO:uMp
RcS'Ҭo>RcgSj???OJO(OJ^OpOOOO*TBO:uMp
BΟYB"4qėRv̙pr-̠С㠠hv_:H @LP>QMqP)DLPR@@m?P?YUeot
BΟYB"4qėRv̙pr-̠С㠠hv_:H @LP>QMqP)DLPR@@m?P?YUeot
T)`K:02-
T)`K:02-
Eԏ挀X!qqPɟ9QdX~Ϛ߆@
Eԏ挀X!qqPɟ9QdX~Ϛ߆@
K:0vbüοX90BTfxj|@i
K:0vbüοX90BTfxj|@i
pJ$Z^ߢߴsHrx functioAn"-?zNt7t;X|/$L v/
pJ$Z^ߢߴsHrx functioAn"-?zNt7t;X|/$L v/
X4S"HZl~@/"/?jD/J?/n?rror genera        $5GYQT*/JR2ZC (}jÀ//*/
X4S"HZl~@/"/?jD/J?/n?rror genera        $5GYQT*/JR2ZC (}jÀ//*/
B?@r:rEOOOS㥛ȡEO__/_A_S_mp_____oo7o[oGo;o}o폧oo-xcontrol"4FXjp*npr|:}֖x ̔qP@@j@@R)Ѥ)P-DT!        @2]!u`u
B?@r:rEOOOS㥛ȡEO__/_A_S_mp_____oo7o[oGo;o}o폧oo-xcontrol"4FXjp*npr|:}֖x ̔qP@@j@@R)Ѥ)P-DT!        @2]!u`u
`bu
`u
5@gɖc@ޑ
`bu
`u
5@gɖc@ޑ
U`H@S>PpcStƃ$ī00b/?ɖ1bdRb~ǨG$D"ǧ,37'?:t"qzǴ@ِԕ҄ڏ̟ޞ0)ѯk'݋UPi44(Ohr)jp+x<@@NspHs٨C(:L^pc\<06i?,xϸ@~|5Soq§P8D"4I[mߑߣߵ<ž#@R
U`H@S>PpcStƃ$ī00b/?ɖ1bdRb~ǨG$D"ǧ,37'?:t"qzǴ@ِԕ҄ڏ̟ޞ0)ѯk'݋UPi44(Ohr)jp+x<@@NspHs٨C(:L^pc\<06i?,xϸ@~|5Soq§P8D"4I[mߑߣߵ<ž#@R
Tw@$ &@Bo"-@Bb#NT :@a"?R_bD4`
#(^߄Page-1ConnectorDyvi White line R507e9e1p3q9qHaShArial centeredtop left   Times        33~zvrnjfb^ZVRM>:62.*&"
_Ǐ$CUgy

Tw@$ &@Bo"-@Bb#NT :@a"?R_bD4`
#(^߄Page-1ConnectorDyvi White line R507e9e1p3q9qHaShArial centeredtop left   Times        33~zvrnjfb^ZVRM>:62.*&"
_Ǐ$CUgy

  /8
  /8
 !io8@b       Pž#@R
 !io8@b       Pž#@R
T@ !w6
4
*
<l 2@BTv-%I<21l 2@Bf%<       g(N&VV@(߱74jyRįP8Z@Rԋ`b&BlS!2%J`T[lowW$PUu'w$g$#I!4YSm)D+Uu2FNW4꿢$?|kv% }=a<Dԋ
T@ !w6
4
*
<l 2@BTv-%I<21l 2@Bf%<       g(N&VV@(߱74jyRįP8Z@Rԋ`b&BlS!2%J`T[lowW$PUu'w$g$#I!4YSm)D+Uu2FNW4꿢$?|kv% }=a<Dԋ
CcDwLdOh+'0L,HP\htG+
b91      

&jWMFC$HH
b91 EMFHlJ        VISIODrawing
CcDwLdOh+'0L,HP\htG+
b91      

&jWMFC$HH
b91 EMFHlJ        VISIODrawing
 &%
j'%V0%(%(&%
j'%V0kiii%(%(&%
j'%V0%(%(&%
j'%V0z||%(%(&%
j'%V0tkriirri%(%(&%
j'%V0%(%(%
j'%V0|rr|r|r%(%&%r6e]6PH6;36&6 66666666~|6||6||6||6|!|6.|6|6C|K|6X|`|6m|r6rr6rr6rr6r%%(&%
j'%%(%%W$?sCo%%(%
j'%V4j||jllj|%(%&%
j'%%(%%W${%%(%
j'%V4rrr%(%&%
j'%%(%%W$%%(%
j'%V4%(%&%
j'%V02000%(%(%
j'%%(%&%666%%(%
j'%V0%(%&%
j'%%(%%W${?GCC%%(%
j'%V4r:LrC:@FLrC%(%%
j'%%(%&%C6CC6CC6C%%(%
j'%V0<KC<CKC%(%&%
j'%V0LussNNs%(%(&%
j'%%(%%W$DH
 &%
j'%V0%(%(&%
j'%V0kiii%(%(&%
j'%V0%(%(&%
j'%V0z||%(%(&%
j'%V0tkriirri%(%(&%
j'%V0%(%(%
j'%V0|rr|r|r%(%&%r6e]6PH6;36&6 66666666~|6||6||6||6|!|6.|6|6C|K|6X|`|6m|r6rr6rr6rr6r%%(&%
j'%%(%%W$?sCo%%(%
j'%V4j||jllj|%(%&%
j'%%(%%W${%%(%
j'%V4rrr%(%&%
j'%%(%%W$%%(%
j'%V4%(%&%
j'%V02000%(%(%
j'%%(%&%666%%(%
j'%V0%(%&%
j'%%(%%W${?GCC%%(%
j'%V4r:LrC:@FLrC%(%%
j'%%(%&%C6CC6CC6C%%(%
j'%V0<KC<CKC%(%&%
j'%V0LussNNs%(%(&%
j'%%(%%W$DH


%%(%
j'%V,0KK0
%%(%
j'%V,0KK0
KK%(%%
j'%V,
KK%(%%
j'%V,
%(%%
j'%%(%&%6666|6||6||6||y6|q|i6|a|Y6|Q|I6|A|96|1|)6|!|6|%%(%
j'%V,%(%-j-$---j-$iii---j-$---j-$||---j-$riirri---j-$---j-$r|r|r---re]PH;3&     ~|||||||||!|.|6|C|K|X|`|mrrrrrrrr---j----%Co---j-$|jllj|---j----%---j-$rr---j----%---j-$---j-$000---j-------j-$---j----%CC---j-$rC:@FLrC---j----CCCCCC---j-$C<CKC---j-$ssNNs---j----%H
%(%%
j'%%(%&%6666|6||6||6||y6|q|i6|a|Y6|Q|I6|A|96|1|)6|!|6|%%(%
j'%V,%(%-j-$---j-$iii---j-$---j-$||---j-$riirri---j-$---j-$r|r|r---re]PH;3&     ~|||||||||!|.|6|C|K|X|`|mrrrrrrrr---j----%Co---j-$|jllj|---j----%---j-$rr---j----%---j-$---j-$000---j-------j-$---j----%CC---j-$rC:@FLrC---j----CCCCCC---j-$C<CKC---j-$ssNNs---j----%H


---j-$K0
---j-$K0
KK---j-$
KK---j-$
---j----||||||y|q|i|a|Y|Q|I|A|9|1|)|!|||---j-$--dlt_VPID_PREVIEWS_PID_LINKBASE$A
---j----||||||y|q|i|a|Y|Q|I|A|9|1|)|!|||---j-$--dlt_VPID_PREVIEWS_PID_LINKBASE$A
FVISIO 5 DrawingVISIO 5.0 ShapesVisio.Drawing.59qOh+'0@M      
FVISIO 5 DrawingVISIO 5.0 ShapesVisio.Drawing.59qOh+'0@M      

 !"#$%&'()*+,-./0123456789:;<=>?@ABCDEFGHIJKLOPQRSTUVWXYZ[\]^_`abcdefghijklmnopqrstuvwxyz{|}~_1094028183FyyOle

 !"#$%&'()*+,-./0123456789:;<=>?@ABCDEFGHIJKLOPQRSTUVWXYZ[\]^_`abcdefghijklmnopqrstuvwxyz{|}~_1094028183FyyOle
"CompObj #iObjInfo%VisioDocument"*VisioInformation"&SummaryInformation(!#'DocumentSummaryInformation8+tVisio (TM) Drawing
"CompObj #iObjInfo%VisioDocument"*VisioInformation"&SummaryInformation(!#'DocumentSummaryInformation8+tVisio (TM) Drawing
*RsM[)-d !fffMMM333Oq0OT
*RsM[)-d !fffMMM333Oq0OT
d Arial)-":-3Times New RoWman)-3RWingds5TA? Y@-1''J/T 
d Arial)-":-3Times New RoWman)-3RWingds5TA? Y@-1''J/T 
hTJbOSS0{Gz?@CPjV]uVbUUO
hTJbOSS0{Gz?@CPjV]uVbUUO
OS?
OS?
T666
NP       U
T666
NP       U

UvPaC G#G#G#G#G#G#G &H#O`$$!>'^M4!>7    i>}p'0U.2?E!-67gjP>603206&0B!4?6`2GT2k=\-        2
VT?@96L^puI5L2?Op??ZX0F6ȍ03P@?_?V6CG
mON9:OOOOMjYgPJ?@_|Yoo2
q`?of.fT+Rjjg4E@ 0_"#$0%
yf_o?!\LgitCth6N
 u9 79?)foa,2wT5<(22rb51w1ab316_폦_:.EX!#5Gb$z__G3eшJYp

UvPaC G#G#G#G#G#G#G &H#O`$$!>'^M4!>7    i>}p'0U.2?E!-67gjP>603206&0B!4?6`2GT2k=\-        2
VT?@96L^puI5L2?Op??ZX0F6ȍ03P@?_?V6CG
mON9:OOOOMjYgPJ?@_|Yoo2
q`?of.fT+Rjjg4E@ 0_"#$0%
yf_o?!\LgitCth6N
 u9 79?)foa,2wT5<(22rb51w1ab316_폦_:.EX!#5Gb$z__G3eшJYp
BP(?GV)Izbbb   bK=  !X!>ubb !
BP(?GV)Izbbb   bK=  !X!>ubb !
T}        *
T}        *
L5%?H-=(P$  '
L5%?H-=(P$  '
s:u`F!" uD)l& &	%enxs!Hc41 320<3323232"41*	r322?041:32B41Z32b32j32rW041z3232413232324
4r32_04132w3232w3232E41!32g041#32m$;3%S3&[3'c3(k3)s3*{3+3,32J-32Rm.3/30323334v31D@@A@z@r@j@b@Z@R@J@B@:@2@*@"@@@
s:u`F!" uD)l& &	%enxs!Hc41 320<3323232"41*	r322?041:32B41Z32b32j32rW041z3232413232324
4r32_04132w3232w3232E41!32g041#32m$;3%S3&[3'c3(k3)s3*{3+3,32J-32Rm.3/30323334v31D@@A@z@r@j@b@Z@R@J@B@:@2@*@"@@@
@@7@/@'@@@@@0000@%P%P%P%Pz%Pr%Pj%Pb%PZ%PR%PJ%PB%P:%P2%P*%P"%P%P%P
@@7@/@'@@@@@0000@%P%P%P%Pz%Pr%Pj%Pb%PZ%PR%PJ%PB%P:%P2%P*%P"%P%P%P
%P4H!Tg0H"L0 
%P4H!Tg0H"L0 
jH=
jH=
M7?@ۥ}*!@@L&d2P R?TU?l/W#UUd" .?#eb,ya//3 0{Gz?'sP
2a0"c	aL@_0ݛG22l#1UXTIa;p?s?pZ/&W0+ +@1UQ0
M7?@ۥ}*!@@L&d2P R?TU?l/W#UUd" .?#eb,ya//3 0{Gz?'sP
2a0"c	aL@_0ݛG22l#1UXTIa;p?s?pZ/&W0+ +@1UQ0
?1rX[J$t_}@qPxmI[r?0X\aooh0E0@n_bench30___\2Τ\_oo+nDo Vhkoooo" !oooow%7I)zvoOassim30ЀϏZ.Md
?1rX[J$t_}@qPxmI[r?0X\aooh0E0@n_bench30___\2Τ\_oo+nDo Vhkoooo" !oooow%7I)zvoOassim30ЀϏZ.Md
P?4@@hW_@@\.O)0#;I[m T!3EH%v5
P?4@@hW_@@\.O)0#;I[m T!3EH%v5
6"T//'/@k/7I[mmodelR
6"T//'/@k/7I[mmodelR
T$5=Oas^0TB/#/ 5/G//'?yefR////?_?_@o_oH?Z?l?|H+nc70?>8u\k?@ϠZ     s  O>QDuL e-e`aOwrsOOGH
TbBb_
Ui`*(U1T9TA_dtoW1Skz^5sXk?XoAo_-o៏_w`w`TfApooj]eۥ}*A!h
f
v|!=u`u
T$5=Oas^0TB/#/ 5/G//'?yefR////?_?_@o_oH?Z?l?|H+nc70?>8u\k?@ϠZ     s  O>QDuL e-e`aOwrsOOGH
TbBb_
Ui`*(U1T9TA_dtoW1Skz^5sXk?XoAo_-o៏_w`w`TfApooj]eۥ}*A!h
f
v|!=u`u
`bu
`u:V@E@@
`bu
`u:V@E@@
`q{s
`q{s
ts~@zb;9d     
ts~@zb;9d     
k%د ⃥/d;RC*vP Q'V5
K_ُ0FHlޏePH?2?bL@@?%")/2;VOhOzOO착¾OOAALkbO_"_4_hzsҒoo%ߠIOoaoso|AbinT
k%د ⃥/d;RC*vP Q'V5
K_ُ0FHlޏePH?2?bL@@?%")/2;VOhOzOO착¾OOAALkbO_"_4_hzsҒoo%ߠIOoaoso|AbinT
vxF@@ir89K]$'/0ϬϾϗOOJ\n߀r}%&_K#G
?work0#sK:K
7I[o/%ND"$6HZl~pUV2]?I"O?FOp@6log`/ ,o$
vxF@@ir89K]$'/0ϬϾϗOOJ\n߀r}%&_K#G
?work0#sK:K
7I[o/%ND"$6HZl~pUV2]?I"O?FOp@6log`/ ,o$
6/H/Z/l.-U/////@R/?#?5?G?Y?@k?}???oOb??OO\OoHO oDOOOO>foutOO_ ,۶<4_F_X_l.+_____p_o&8EoWoio{ooomɒoooZFB
6/H/Z/l.-U/////@R/?#?5?G?Y?@k?}???oOb??OO\OoHO oDOOOO>foutOO_ ,۶<4_F_X_l.+_____p_o&8EoWoio{ooomɒoooZFB
 ,N2DVl.)ɏ
!o3oCUg yk؟XD߀@ߌ¯KhW_@@Kv?@~90K]x'0卿ÿտ/ASewϐi/,0kE߀{ߍߟ߱6INCA_libsI7&@@lGhB8J\"w#+.    -?Qcug56"T/@?/B?:(w'ork@
 ,N2DVl.)ɏ
!o3oCUg yk؟XD߀@ߌ¯KhW_@@Kv?@~90K]x'0卿ÿտ/ASewϐi/,0kE߀{ߍߟ߱6INCA_libsI7&@@lGhB8J\"w#+.    -?Qcug56"T/@?/B?:(w'ork@
m2DVf-E^0B
//1/C/U/g/y///k?efR///?X?_D? o_Do???>Vlogp??Oh9Vc4OFOXOf+uOOOOOێ`rO_!_3_E_W_i_{___moʖɂ___oZoFoBoooo.
m2DVf-E^0B
//1/C/U/g/y///k?efR///?X?_D? o_Do???>Vlogp??Oh9Vc4OFOXOf+uOOOOOێ`rO_!_3_E_W_i_{___moʖɂ___oZoFoBoooo.
 ~Q2DVf)پ
%';M_ qcDz؏XDπ@όŸ:ru]k?@(#e@CI2AU!u`u
 ~Q2DVf)پ
%';M_ qcDz؏XDπ@όŸ:ru]k?@(#e@CI2AU!u`u
`bu
`ukrƥrf߀@$
`bu
`ukrƥrf߀@$
`*fmurЀPί
b
/~@z%;D8'0jrtPdFs'X卣*V][bt|\tŦ/ߤ!YRлNH,Md
`*fmurЀPί
b
/~@z%;D8'0jrtPdFs'X卣*V][bt|\tŦ/ߤ!YRлNH,Md


M7(ޱNP'75F%5F2BC3CO2nCNG3tJE/#/@.I/[/~///,…3///??$?6?H?Z?l?~??pKPtsb???W6UOIU"Ogsbsrcsb
M7(ޱNP'75F%5F2BC3CO2nCNG3tJE/#/@.I/[/~///,…3///??$?6?H?Z?l?~??pKPtsb???W6UOIU"Ogsbsrcsb
Jew},>,̉5X6fxҏ,Pbt,@6-I-¬Æϭø3EWi@Kïկ?~$
{@@fW_4FXj|Ŀֿ0
Jew},>,̉5X6fxҏ,Pbt,@6-I-¬Æϭø3EWi@Kïկ?~$
{@@fW_4FXj|Ŀֿ0
2??Y7CT,VRz{%7} [mߣ 
o$
2??Y7CT,VRz{%7} [mߣ 
o$
@@%@@\.'/ASewɃīBP?4??T%oC$ &H#I/t#T'z*K@) Oa;]!#6?0ir80H3 2DVhzQ0yBBL8h+ g5oĶE~5E3FyBSxC_yBBS"WCHZ////?/P>?/?   "R?d?v?qK:K
????
@@%@@\.'/ASewɃīBP?4??T%oC$ &H#I/t#T'z*K@) Oa;]!#6?0ir80H3 2DVhzQ0yBBL8h+ g5oĶE~5E3FyBSxC_yBBS"WCHZ////?/P>?/?   "R?d?v?qK:K
????
OO.O@OROdO>`GrOOdgee/`ee(/wGrsFsGrwPsk_}_____     ?_
o# o2oDonooooooo oo"4Vhz23π3ޣ9K]oQɏۏ$߶<:L^pʟܟ໰$6HuWׯ€Ģۡ¬ӌò+=as©%ο࿺K,>PbtφϘϪϼ߀Ck`%NĢOۡzZ
OO.O@OROdO>`GrOOdgee/`ee(/wGrsFsGrwPsk_}_____     ?_
o# o2oDonooooooo oo"4Vhz23π3ޣ9K]oQɏۏ$߶<:L^pʟܟ໰$6HuWׯ€Ģۡ¬ӌò+=as©%ο࿺K,>PbtφϘϪϼ߀Ck`%NĢOۡzZ
߀Q/Aew&<Kv?_?
1CUgyW|D"OFO9O'"3~#Ģ?ۡ"H3(7#N:_?3E'Xj|Vlm?/#/5/G/Y/k/%@JtMR//jG2kE@kE.WMRSLSĢ_ۡMRcWVSjq??????oOO(&O8OJOh9VcrOOOOOOOO__&_8_`\_n__8w2 9u_`9u_Ģۡć$?oQocouoo ooWoo)o쀫~Q@Rdv*
߀Q/Aew&<Kv?_?
1CUgyW|D"OFO9O'"3~#Ģ?ۡ"H3(7#N:_?3E'Xj|Vlm?/#/5/G/Y/k/%@JtMR//jG2kE@kE.WMRSLSĢ_ۡMRcWVSjq??????oOO(&O8OJOh9VcrOOOOOOOO__&_8_`\_n__8w2 9u_`9u_Ģۡć$?oQocouoo ooWoo)o쀫~Q@Rdv*
'fW_d[V$Պ#0,流T`UwҀ`ۿW5[mG+Ϣϴ
'fW_d[V$Պ#0,流T`UwҀ`ۿW5[mG+Ϣϴ
M7?@R @@#-DT!
M7?@R @@#-DT!
.@Rdz" ] w!Iߛ`e҂R?Ŵ(AY` @#/1IHI\d  E1K#<
.@Rdz" ] w!Iߛ`e҂R?Ŵ(AY` @#/1IHI\d  E1K#<
"ں$@1);M,^p3$i(:# L^2j|kR…3G232?)a> ?76R6n`~O/-$/6/H/mtDyp////////:@?^R0?B?T?f?m'rȇGRSRoon o?NfO`f4OFOXOjOO`OODOO.OO_d8_J_\_n_____<
"ں$@1);M,^p3$i(:# L^2j|kR…3G232?)a> ?76R6n`~O/-$/6/H/mtDyp////////:@?^R0?B?T?f?m'rȇGRSRoon o?NfO`f4OFOXOjOO`OODOO.OO_d8_J_\_n_____<
p_^_oo,oo ̉5XG65űK aoo&o0v`Tf
p_^_oo,oo ̉5XG65űK aoo&o0v`Tf
/2?@LUh>"4FXj|<
/2?@LUh>"4FXj|<
u^a`ΏX?2=la`a 'ωc۵Tӟ@=-Qc0vP?4@@>fy@@z^O˨ݯ%7IC;d^'₿w
u^a`ΏX?2=la`a 'ωc۵Tӟ@=-Qc0vP?4@@>fy@@z^O˨ݯ%7IC;d^'₿w
vxF@w߶<G'&' xc夓dϘϼ-1cgxL^pPM2&r?BJ?@p8@M'3i{S T2 2D߽Y耫?~Q?232MOacNyO,F0>F/$/6/H//l/>//Ÿ}3///8/00tиŮSh@?R?d?v???P?yb???
vxF@w߶<G'&' xc夓dϘϼ-1cgxL^pPM2&r?BJ?@p8@M'3i{S T2 2D߽Y耫?~Q?232MOacNyO,F0>F/$/6/H//l/>//Ÿ}3///8/00tиŮSh@?R?d?v???P?yb???
OV>Kv#FDybxcyb])~?SuOOO_T_2_^Y_k_e4___s@ۖ'___o"o4oFoXoRS|ov?ooooʲޱNP@pGhFD?>?ٟ#gr~s2ʖ
OV>Kv#FDybxcyb])~?SuOOO_T_2_^Y_k_e4___s@ۖ'___o"o4oFoXoRS|ov?ooooʲޱNP@pGhFD?>?ٟ#gr~s2ʖ
.@,DeǑ@q)R0"@@BtDN%(1($EBDN%
.@,DeǑ@q)R0"@@BtDN%(1($EBDN%
NM# @lM%?RU|DN&(\\Ve\Kyocera Mita FS-1000+0C
NM# @lM%?RU|DN&(\\Ve\Kyocera Mita FS-1000+0C
odXXLetterO_ezDINU"0        %T
odXXLetterO_ezDINU"0        %T
c`     -?Qcu//)/;/M/_/q////!|Page-1BlWhReGrCoyYMa10ite lin30Lo T5=7=9=S1p:i9iH!ShArial centeredztop left   Times        33ͪ~zvrnjfb^ZVRNJE62.*&"
O<DeǑ@q)R0"@ !(0l 2@BtqM=(<<!HO$CUgy+/Aw i o
c`     -?Qcu//)/;/M/_/q////!|Page-1BlWhReGrCoyYMa10ite lin30Lo T5=7=9=S1p:i9iH!ShArial centeredztop left   Times        33ͪ~zvrnjfb^ZVRNJE62.*&"
O<DeǑ@q)R0"@ !(0l 2@BtqM=(<<!HO$CUgy+/Aw i o
bj1($l 2EBtEN%)
bj1($l 2EBtEN%)
<s        g(F
Q@(.84dZLuMR|M8TMb&B̯N!{`l\_$=wUd{a$Ug s{)pM
'M&HHaO2F?@N'?wqMy(.}DwEN/)OP\htigorm4C:\cvsroot\ethernet\doc\src\directory_structure.vsd՜.+,D՜.+,@LXd
PagesPage-1$0dpx_VPID_PREVIEWS_PID_LINKBASEA$Oh+'04 DP
<s        g(F
Q@(.84dZLuMR|M8TMb&B̯N!{`l\_$=wUd{a$Ug s{)pM
'M&HHaO2F?@N'?wqMy(.}DwEN/)OP\htigorm4C:\cvsroot\ethernet\doc\src\directory_structure.vsd՜.+,D՜.+,@LXd
PagesPage-1$0dpx_VPID_PREVIEWS_PID_LINKBASEA$Oh+'04 DP
lx
Specifications Template#Template for Core's Specificationss
lx
Specifications Template#Template for Core's Specificationss
IgorMohororgor(Specifications Template Flex Design.dotigormic607Microsoft Word 9.0l@B~;@~gC@v(ZZx=
tչwfwv'&c`Q16ȏEDOc&nlB`%=4HyyiPS>TD{D1966ݝMvdݜ/sޙ{#VBo!D$y.?I.YtQ#?ūy yh@비\$S,Yw!3cs]nBNL%%     a&H%J&I    :9zϪ_GPإ'gTaJ[      vMӔu{
IgorMohororgor(Specifications Template Flex Design.dotigormic607Microsoft Word 9.0l@B~;@~gC@v(ZZx=
tչwfwv'&c`Q16ȏEDOc&nlB`%=4HyyiPS>TD{D1966ݝMvdݜ/sޙ{#VBo!D$y.?I.YtQ#?ūy yh@비\$S,Yw!3cs]nBNL%%     a&H%J&I    :9zϪ_GPإ'gTaJ[      vMӔu{
ᧆ
ᧆ
?ѻk$] ^@w{nz{ϻ-TU 
?ѻk$] ^@w{nz{ϻ-TU 
       **菾sٷN("U¬oV]>ϵ_ɉ]
       **菾sٷN("U¬oV]>ϵ_ɉ]
T酪|u}uz*B
]*9k]
T酪|u}uz*B
]*9k]
Jڣ>i)3Lr4\#L̉RHb.1RILB|"s6AO(. djqG}`LT؁Z~9n1]>v!CXr9w6wn1T}׍?`yme>Ŷvg.}&GAWL
r|䄲J&ۯ&f'H1#˛q"r2(GAp,0k0xs_!YO[G>2zL*XN
C(1?4adAд%,~€iI.:K"hL
YlAk(zZcNm$ӗF?ԀƄzB1$NgjϤ7ҼћA#5~} b)^ ǻ9YO2ti3hm2=o:9i3An=É-x8)~W{8c8<';Q=zLxs^)ņS_9W#A#ҴozD/\1|,{;ӵ=*8KRңJFB7\(^[l[%uW:^ſ^:b8d}c7LUnfsb8}&=sۺ^mnH߸  K
Jڣ>i)3Lr4\#L̉RHb.1RILB|"s6AO(. djqG}`LT؁Z~9n1]>v!CXr9w6wn1T}׍?`yme>Ŷvg.}&GAWL
r|䄲J&ۯ&f'H1#˛q"r2(GAp,0k0xs_!YO[G>2zL*XN
C(1?4adAд%,~€iI.:K"hL
YlAk(zZcNm$ӗF?ԀƄzB1$NgjϤ7ҼћA#5~} b)^ ǻ9YO2ti3hm2=o:9i3An=É-x8)~W{8c8<';Q=zLxs^)ņS_9W#A#ҴozD/\1|,{;ӵ=*8KRңJFB7\(^[l[%uW:^ſ^:b8d}c7LUnfsb8}&=sۺ^mnH߸  K
o\t8Xެo|`oܔol`_Ǡu^8:G(oGLJNiǽ|N!G+PXo ͘"ENjq”lR~        N?mv\oA赭#t;ٔ)I8I?vIDtHzi$ZN}رD,>3cgplέ%՝ AfVNEw:-;C{au'2~HhpӪ .wd# ?Z\dҁ@LڏIgNVtP9!]XF*AKދ5dG?tnGM~k/V`6]+ICEP6?٨,1L-Lw4Bfg ١ݾׄ\up+(̧Ҟ\4{e-Dr,Uuuׄm[$5nд0m\kzdrO g=C;>n^Y{Gح6_אZ@"ia:VVI:}k׃pFr!OkGshgS}zk}jM\19rtz>w5&י1i19L19iƌ\-XMikmBhp|Mis-=x3AGp:iy\us(6W$lsxjg6˅0[[+x
B\13>=*mzʵgr-{=˅PU{^_i>RosRlsO6&4:֝aYkV2%Y[eL8D!4\0QN4t08ƵU$kU ^}nǣs`}NLTNLVG7+MQ2]w-T>~,é'kN8/BA_YYi#        U>J~BR*_$I$[t~/dO3҉i\Ί4霬2p_g8xmIVJ
o\t8Xެo|`oܔol`_Ǡu^8:G(oGLJNiǽ|N!G+PXo ͘"ENjq”lR~        N?mv\oA赭#t;ٔ)I8I?vIDtHzi$ZN}رD,>3cgplέ%՝ AfVNEw:-;C{au'2~HhpӪ .wd# ?Z\dҁ@LڏIgNVtP9!]XF*AKދ5dG?tnGM~k/V`6]+ICEP6?٨,1L-Lw4Bfg ١ݾׄ\up+(̧Ҟ\4{e-Dr,Uuuׄm[$5nд0m\kzdrO g=C;>n^Y{Gح6_אZ@"ia:VVI:}k׃pFr!OkGshgS}zk}jM\19rtz>w5&י1i19L19iƌ\-XMikmBhp|Mis-=x3AGp:iy\us(6W$lsxjg6˅0[[+x
B\13>=*mzʵgr-{=˅PU{^_i>RosRlsO6&4:֝aYkV2%Y[eL8D!4\0QN4t08ƵU$kU ^}nǣs`}NLTNLVG7+MQ2]w-T>~,é'kN8/BA_YYi#        U>J~BR*_$I$[t~/dO3҉i\Ί4霬2p_g8xmIVJ
,qиS%@){fhH`x8~sCp/OBABC"4S
,qиS%@){fhH`x8~sCp/OBABC"4S
{ɫF\g^${ɳׁon.ӊ,ݟ?<LmSֹOaM>@l        ׃~yNbX~D
l![k;.l>_۶Hv#էRT:O;,K%}SH1OAs\1}5O~)O~)d[*
{ɫF\g^${ɳׁon.ӊ,ݟ?<LmSֹOaM>@l        ׃~yNbX~D
l![k;.l>_۶Hv#էRT:O;,K%}SH1OAs\1}5O~)O~)d[*
ቮGq2`.dfN<7R=:\µEFi0Kk">z7yeαM@Ԝ:.؜/ۡO#vppփc[}v֮a_
|w;A睠; q         :wBxc2u}A}g>Kwpz3^j98
8aCN($g?ځyϰD(^ca1Az|L>GvR0=zxQ<19Y~l%zC=陸;62ankT|x!wܳ~p¯BQH{g⿄//?shLY~xi(58vy$So. ד[d<_~4"^y%G*ͪ0Kcg"\IbJP
`|jޫtOXa~~38N )R5o\$*O%9%u8ԗsX\2:1@2_ҹJ6e$zx2$D3Z60m%yIc^<mX8C
ቮGq2`.dfN<7R=:\µEFi0Kk">z7yeαM@Ԝ:.؜/ۡO#vppփc[}v֮a_
|w;A睠; q         :wBxc2u}A}g>Kwpz3^j98
8aCN($g?ځyϰD(^ca1Az|L>GvR0=zxQ<19Y~l%zC=陸;62ankT|x!wܳ~p¯BQH{g⿄//?shLY~xi(58vy$So. ד[d<_~4"^y%G*ͪ0Kcg"\IbJP
`|jޫtOXa~~38N )R5o\$*O%9%u8ԗsX\2:1@2_ҹJ6e$zx2$D3Z60m%yIc^<mX8C
A<|
A<|
csY  uw7M#$F0
/Li2&p/p߱u?LL5еXu'`m	p	k^=
csY  uw7M#$F0
/Li2&p/p߱u?LL5еXu'`m	p	k^=
x'^Fv!iAUQLe{1o%4       |VKTߋwb[7bFcuΨFPEJqЛ=G
jGi        E.&u#~ {|[ʙ
x'^Fv!iAUQLe{1o%4       |VKTߋwb[7bFcuΨFPEJqЛ=G
jGi        E.&u#~ {|[ʙ
.˾ŕk#/(ʜk9pE6osC];:\        ¡`lR}|<}˓ptz_(?QH0h>g(wsbbHpq}JQ
.˾ŕk#/(ʜk9pE6osC];:\        ¡`lR}|<}˓ptz_(?QH0h>g(wsbbHpq}JQ
)D}ŨJ2\yC@wmlh'm-Wr)SP*W S0G0ka_?'8kXQUt0GyD+;ƣ|5P/R$Qrc#a[efD,& ?6Z4$(WNCy~h~ 4~`ifvľ#izgn뇟V)ZD.kOUhp!P\5|%5^ɵXN1k|kOtx,Xƥ/yIeuEk|m[DЃ-yuDG\Jtvj\Jb*ǯ-
)D}ŨJ2\yC@wmlh'm-Wr)SP*W S0G0ka_?'8kXQUt0GyD+;ƣ|5P/R$Qrc#a[efD,& ?6Z4$(WNCy~h~ 4~`ifvľ#izgn뇟V)ZD.kOUhp!P\5|%5^ɵXN1k|kOtx,Xƥ/yIeuEk|m[DЃ-yuDG\Jtvj\Jb*ǯ-
Pu.2ʑR}}ğ/y
Pu.2ʑR}}ğ/y
kDmYy"[H[I8Ӝ9VBʁ}3fiJn{3Ձڠ?C6K,Bx
kDmYy"[H[I8Ӝ9VBʁ}3fiJn{3Ձڠ?C6K,Bx
999Vֆj}5΍*롖4oIqJk0Ӿ0YaDFt%Ɲ^vzV՞zGƨ,qTy+uJy,_,7QkD.cUe)LD~ړ2t>d"I糩|Qg"Ƒgǂx$!LLtɌS_2Wi-8m+>hoHvMG?ڱ5ڔe=    $ӲמikOɦLry11R7S84q,N?bp)8^mLvB}DL Izk2OvG^vgٮƲ=tXsIn,x+[}e8,wouFW,;\wrTY2]%Ds˻"1$JDZ:O;#ȯ$~vsr̊eVTDIGUJSU>7(vn}QNOLKdc`V.:V6ij.TT.xY_CSfk(J:.hGef^~/YspQzCm>lQ{xjwe>he{IpGۦtwxXR"s%"_c!a,AŨDdD4R$86
999Vֆj}5΍*롖4oIqJk0Ӿ0YaDFt%Ɲ^vzV՞zGƨ,qTy+uJy,_,7QkD.cUe)LD~ړ2t>d"I糩|Qg"Ƒgǂx$!LLtɌS_2Wi-8m+>hoHvMG?ڱ5ڔe=    $ӲמikOɦLry11R7S84q,N?bp)8^mLvB}DL Izk2OvG^vgٮƲ=tXsIn,x+[}e8,wouFW,;\wrTY2]%Ds˻"1$JDZ:O;#ȯ$~vsr̊eVTDIGUJSU>7(vn}QNOLKdc`V.:V6ij.TT.xY_CSfk(J:.hGef^~/YspQzCm>lQ{xjwe>he{IpGۦtwxXR"s%"_c!a,AŨDdD4R$86


3A?2TRb30>7qJU`!TRb30>7q(褁Zx=xŹsΞ39'$hTr)R+(RA       9<J       <0BQj[j}"*,~"ZPZC[j{o
3A?2TRb30>7qJU`!TRb30>7q(褁Zx=xŹsΞ39'$hTr)R+(RA       9<J       <0BQj[j}"*,~"ZPZC[j{o
E3gs'ѓo2331|?$%sgLYC؇JY2B+r&oN dHt2gLŻ?      ѡo* d B^tC"~+v!H74jr
%w}Xg9M\}*ʰn!.&Sma~랁gN o`PC=V-=<}oWWh[?v'K&qƯ{Dh
Ex?DvblV(_-ǜ}]O
&%YyMp}9EWa偐Ϻ"/5. W|t/!Ⱥ׷6"+hEʴ4iuEZpG 쇾~P]gϾa҃Snev.q`K5,!WzBko7
E3gs'ѓo2331|?$%sgLYC؇JY2B+r&oN dHt2gLŻ?      ѡo* d B^tC"~+v!H74jr
%w}Xg9M\}*ʰn!.&Sma~랁gN o`PC=V-=<}oWWh[?v'K&qƯ{Dh
Ex?DvblV(_-ǜ}]O
&%YyMp}9EWa偐Ϻ"/5. W|t/!Ⱥ׷6"+hEʴ4iuEZpG 쇾~P]gϾa҃Snev.q`K5,!WzBko7
Rp.L_*b."s-k!xm"p5X/.pከK!r8"J[ROC>#   jqy-#yW6(a"п
ny__-nypKSlɆ})$rѴ!d%Bwx
Rp.L_*b."s-k!xm"p5X/.pከK!r8"J[ROC>#   jqy-#yW6(a"п
ny__-nypKSlɆ})$rѴ!d%Bwx
xw}Ynrۑb(l@`g_.z/l_dҾ6oe_.v/4/WAxGUPZ}4c3gF      NYQR_YE_YQ
xw}Ynrۑb(l@`g_.z/l_dҾ6oe_.v/4/WAxGUPZ}4c3gF      NYQR_YE_YQ
zʞL1'yEJ{Q~߲1l(+<*ic7v}UcwdU!q{[Lv9#y!tϬ
E{)6;Su#Ϫ
zʞL1'yEJ{Q~߲1l(+<*ic7v}UcwdU!q{[Lv9#y!tϬ
E{)6;Su#Ϫ
㴣Y,[[O^PYYQ(+[ \       |#/RVE^^YY")@f\nD(*f-[&B%Cf(0cE*nHg	ɇ}=`߅*	,U#IJ"C48;T'|)H鏢#Qt/OhѢ5>^epyagoGbda~lpK>Gl|(ܙ1wӏòtq~\.Ү~حF؏XYkƳ}v00l\
㴣Y,[[O^PYYQ(+[ \       |#/RVE^^YY")@f\nD(*f-[&B%Cf(0cE*nHg	ɇ}=`߅*	,U#IJ"C48;T'|)H鏢#Qt/OhѢ5>^epyagoGbda~lpK>Gl|(ܙ1wӏòtq~\.Ү~حF؏XYkƳ}v00l\
Lͽ[`xvUmS}c"=>#Hl2 Z?U"_*'za,\h~vja0l<ؿ Kp#f~?7¼6%6'
Lͽ[`xvUmS}c"=>#Hl2 Z?U"_*'za,\h~vja0l<ؿ Kp#f~?7¼6%6'
w K|=,"%UA,
U˃ǟv?*qQǘP_cE&ţl`=5ϑ	[|;hpOay8s;v[l9v_y[[y>(sZN_ntv
w K|=,"%UA,
U˃ǟv?*qQǘP_cE&ţl`=5ϑ	[|;hpOay8s;v[l9v_y[[y>(sZN_ntv
_Z
_Z
V/> jdd?u\*jO"ƙ0Յ%fQ?W^^2klDj>9L&<u,+LpmN7[}v.P>ǶvSL>^5nms>=Oе0Zn6'
V/> jdd?u\*jO"ƙ0Յ%fQ?W^^2klDj>9L&<u,+LpmN7[}v.P>ǶvSL>^5nms>=Oе0Zn6'
wXcsekd}.!#z5d4X3BN[[f_}04X6ػ0hN     _.t
wXcsekd}.!#z5d4X3BN[[f_}04X6ػ0hN     _.t
zg߶cm,7IU*l
ny-mB|fDδ3ncd=m,}mRޯlg?Ǜvm1hf?vXv?5.uKYLNO\~xNi   NJ;9ͦtp'V;  ,kLxM0ښ$}ANA'J@]Ck
zg߶cm,7IU*l
ny-mB|fDδ3ncd=m,}mRޯlg?Ǜvm1hf?vXv?5.uKYLNO\~xNi   NJ;9ͦtp'V;  ,kLxM0ښ$}ANA'J@]Ck
UOuݪ{a.̛ e',;`kָ>L4XШ+}+0phQ!ihtS``?AB44z,XhT34FʁF4zKrthpܐ5
UOuݪ{a.̛ e',;`kָ>L4XШ+}+0phQ!ihtS``?AB44z,XhT34FʁF4zKrthpܐ5
cJX)1ew_ca{T*:ѺbA#i)[kǔLw Cհ[W@4M@r
`:o2hsxY:*'f۬&C<tS@~x[:by?V}JQmz@;li>TuvNFcԉ\|NP[
vH?yB^g/[vPFv{ѱx46Z+0%.q8nC}Q{I}M۩W?V/u
iw=epPxIorB=o.B&UFhc9kokee/XVX⺐rלP
c36eߘ/u)E_`eн
b}IhqT-dKك_7C!o{-prύ(<4.QBb/dٱ*^iJl/$!^ǎB*^Hb
cJX)1ew_ca{T*:ѺbA#i)[kǔLw Cհ[W@4M@r
`:o2hsxY:*'f۬&C<tS@~x[:by?V}JQmz@;li>TuvNFcԉ\|NP[
vH?yB^g/[vPFv{ѱx46Z+0%.q8nC}Q{I}M۩W?V/u
iw=epPxIorB=o.B&UFhc9kokee/XVX⺐rלP
c36eߘ/u)E_`eн
b}IhqT-dKك_7C!o{-prύ(<4.QBb/dٱ*^iJl/$!^ǎB*^Hb
ةҫecja@LɢTSEEiFi~:Q\'vӸT
Kp3O'V,v:Up
ةҫecja@LɢTSEEiFi~:Q\'vӸT
Kp3O'V,v:Up
z.اၻ @~ݏVvvViJx~p*oB^b[)nb/;=F&b	ZuT'v#!l;8VdkPv'61/{a[h+Z/>8lkcS:vkgl3l'`}kt'{ncOl3mg:v/mcXOȖ~t.shO_G}G/g0G-l.03i)EC|\áKǙݨW:oA{(vvsᡳlKY7hzUk7v0~뿷@%-gCq;ò}vtm4}W7;|}J.g_q_P_ jWk-BjyZWž/)~UWKϪRB/c+/        g?ː~B_{B    #)<7PP՗|5'PC!>8L`j_mjO?p+WCPV̇{䪯WKOEcyohnگТ(|S5,lFXz6m6Уۦz;ݪWOx!m07mZ?ǖOj}dװz;[       l^u:^?DgGh~G~' ({:?3/óC6C?o6dB4\e+:vml`]a o
z.اၻ @~ݏVvvViJx~p*oB^b[)nb/;=F&b	ZuT'v#!l;8VdkPv'61/{a[h+Z/>8lkcS:vkgl3l'`}kt'{ncOl3mg:v/mcXOȖ~t.shO_G}G/g0G-l.03i)EC|\áKǙݨW:oA{(vvsᡳlKY7hzUk7v0~뿷@%-gCq;ò}vtm4}W7;|}J.g_q_P_ jWk-BjyZWž/)~UWKϪRB/c+/        g?ː~B_{B    #)<7PP՗|5'PC!>8L`j_mjO?p+WCPV̇{䪯WKOEcyohnگТ(|S5,lFXz6m6Уۦz;ݪWOx!m07mZ?ǖOj}dװz;[       l^u:^?DgGh~G~' ({:?3/óC6C?o6dB4\e+:vml`]a o
U=pHx666ݬmZ}7[mr֕.LϨ>JM?Y}X9kCs"6ZnW]GBo;6 mP4ܷ
DdI_4~qxg<UC-Usy3>KwlG3^.l@ۚpak~<}Hx~{]ũu4Eu,̳(2O躇uΫb[Aַ+=x
U=pHx666ݬmZ}7[mr֕.LϨ>JM?Y}X9kCs"6ZnW]GBo;6 mP4ܷ
DdI_4~qxg<UC-Usy3>KwlG3^.l@ۚpak~<}Hx~{]ũu4Eu,̳(2O躇uΫb[Aַ+=x
^>f\oywTK.=l7޹>ۻd<%cs_Fb>!ۀ=o7z~$7Bh thԂ=ŎEcHwd   y>}3go:]DzO֐*G;
^>f\oywTK.=l7޹>ۻd<%cs_Fb>!ۀ=o7z~$7Bh thԂ=ŎEcHwd   y>}3go:]DzO֐*G;
ߧpw=qybxjf\oG |UQPlA
ߧpw=qybxjf\oG |UQPlA
ofGtD˦A wȀW%'4%OyC\) 帟d]œDu!oKТZCo(c3% A;ջv|fcc\]53.0+'F;Ĩd.瀎^
>qe>N6bAsw5=0B/QuqvGh(W_8B{ըeכmulgK6vXOPi56lhcXOP6q,גd$Zauy
ofGtD˦A wȀW%'4%OyC\) 帟d]œDu!oKТZCo(c3% A;ջv|fcc\]53.0+'F;Ĩd.瀎^
>qe>N6bAsw5=0B/QuqvGh(W_8B{ըeכmulgK6vXOPi56lhcXOP6q,גd$Zauy
ޣ·\s
Bt9dr&_x_8ZZYg\KrkI
1Ld37;'%q;jv֥
ޣ·\s
Bt9dr&_x_8ZZYg\KrkI
1Ld37;'%q;jv֥
B{{xw4~|; \(;SK`EToP@'TS>AΝAs=6(ܛ]2q5O*d̀C_y8Y0mΨ<4ƚ&!wWZ+`L3AMԇ%+@StۥX62ƠMQKˀ0nv|ϴ$bT9Řf"{ey(3~ǡH/(}C}_Nϼ7K":+D;/       wCdrcmuu7|xKxU65{
A417=ٚ%WW/\ذ|E\ǥ\FbݎďѾ_xQ9mlnqE^pS_tĻ?_}͕ȯJWRNEP       ʉ?a~[:e|j瑯\т f3ԁf711vc]$5ąE}y8־)Հ2+\FU!{hC̖`dc86
B{{xw4~|; \(;SK`EToP@'TS>AΝAs=6(ܛ]2q5O*d̀C_y8Y0mΨ<4ƚ&!wWZ+`L3AMԇ%+@StۥX62ƠMQKˀ0nv|ϴ$bT9Řf"{ey(3~ǡH/(}C}_Nϼ7K":+D;/       wCdrcmuu7|xKxU65{
A417=ٚ%WW/\ذ|E\ǥ\FbݎďѾ_xQ9mlnqE^pS_tĻ?_}͕ȯJWRNEP       ʉ?a~[:e|j瑯\т f3ԁf711vc]$5ąE}y8־)Հ2+\FU!{hC̖`dc86
6i-ap ՙAuڃ+TY^G~/W#V?{dP tqZ0~oXyjc=h
(K##xW
>_SeaZ]X'      іF
:ڭ8n:I~+WdN~y+W#+_JNj
6i-ap ՙAuڃ+TY^G~/W#V?{dP tqZ0~oXyjc=h
(K##xW
>_SeaZ]X'      іF
:ڭ8n:I~+WdN~y+W#+_JNj
NsJau{n4r`p$#Vn-Eʽl~چ/gtZH}mZĦe2X.*q
NsJau{n4r`p$#Vn-Eʽl~چ/gtZH}mZĦe2X.*q
}FD[@E֚;%wYrw_MɕȯJWFo'ۉee[#V@kM^̥ykq_ǝVӖ55%;Y搼m Gq|%X~!W8T~!g$F|+!W#a~ I郐ROòONFc &56K[}8ǧu	xǫ-)'Ǧ}EOeUwKÑOKúC=P\Z.ROzp$OPy-OTIxJ\RWDx}NKJYATDZi[wg);}[onY'ޖ'ky`x֑$iSy:_v_+\\7y-rLLkl--sO"%/IMO[0 -'{⬔B^s 9(研OTһ!Om0:9JxJ7\S۴dAòkH"LLj#o=f  77,je=A%ӫa|͕ߋ;d66[jnuܷRAl}+zVlV@#1w[hØcb,IJ`̝]VCVY瓸K^>Iky^dxJ\HYYġ"ĠKej3SHKyD
}FD[@E֚;%wYrw_MɕȯJWFo'ۉee[#V@kM^̥ykq_ǝVӖ55%;Y搼m Gq|%X~!W8T~!g$F|+!W#a~ I郐ROòONFc &56K[}8ǧu	xǫ-)'Ǧ}EOeUwKÑOKúC=P\Z.ROzp$OPy-OTIxJ\RWDx}NKJYATDZi[wg);}[onY'ޖ'ky`x֑$iSy:_v_+\\7y-rLLkl--sO"%/IMO[0 -'{⬔B^s 9(研OTһ!Om0:9JxJ7\S۴dAòkH"LLj#o=f  77,je=A%ӫa|͕ߋ;d66[jnuܷRAl}+zVlV@#1w[hØcb,IJ`̝]VCVY瓸K^>Iky^dxJ\HYYġ"ĠKej3SHKyD
64l^T_,v6pgp'
1;Pxc2C.bh4nXDd70
64l^T_,v6pgp'
1;Pxc2C.bh4nXDd70


#A2RMϺu#QfU`!RMϺu#H/H(8Evx[}pTlv!hH6uDJ?&$uB7KLmcHjRaj0$Xm),uZVZItQڙw}yf7ww=sxA\w-{AW4|漢jl!NȣtJ"dWV#!++VXO;f!'fg=u-,C$wC
#A2RMϺu#QfU`!RMϺu#H/H(8Evx[}pTlv!hH6uDJ?&$uB7KLmcHjRaj0$Xm),uZVZItQڙw}yf7ww=sxA\w-{AW4|漢jl!NȣtJ"dWV#!++VXO;f!'fg=u-,C$wC
n'q@i![s&VЧ!_HhR^2,kPll^LǏB=TL#㵞+
n'q@i![s&VЧ!_HhR^2,kPll^LǏB=TL#㵞+
п=>.]spc_
п=>.]spc_
C;zTe Hdʵx&<ܶ}y̯Z=L!	brDV:IQ%3Fo2]M0I4A]CŖ⒛
{lHh\MAGvzrAI=½     ~`!/#@TɒqKT|r6'ڐ4m>\uJ?=}]6tzi=
)l7
C;zTe Hdʵx&<ܶ}y̯Z=L!	brDV:IQ%3Fo2]M0I4A]CŖ⒛
{lHh\MAGvzrAI=½     ~`!/#@TɒqKT|r6'ڐ4m>\uJ?=}]6tzi=
)l7
^M^tL    `M?y%.Bʳ       ٬    bu
^M^tL    `M?y%.Bʳ       ٬    bu
'S
~[I#~c2| x?^'b'N*>q^nF
<~=
'S
~[I#~c2| x?^'b'N*>q^nF
<~=
ܶ\-8z.4/VdaL<[QÖG;
}ԒM4K1K*OP}X#ȣ+*^rMШ\IY3[U2rynw[Oz/o:/Mlo*U8{sU:tr(J毧:a{0k4?ܴ/!w\빉vP>L6`ϓ99"u= I/9^ӻAӸD[{Kk,"s!&*
.#l~5 {_4fDz$3$PowA&J? Dmk-ٯ{N߈=iē۠Ahb瀖f7xa,#d7V3Qi2G>FHu_s.#JyDq#gq4ӘGjQ1*̇0>xq6-sDOr0ˍrm~_~7,y?sM^!Ict\11.V7nV-<+ˍ9ˍ?&/x0ۍWg'qZUmZO      ߰h'Ɉ/pݒ/l<"θa!tVUBl5Z,澦4+)ܽ2LwAUЊ˙       烥ރJB5{9gr}(8/{u-}]xAlھB
ܶ\-8z.4/VdaL<[QÖG;
}ԒM4K1K*OP}X#ȣ+*^rMШ\IY3[U2rynw[Oz/o:/Mlo*U8{sU:tr(J毧:a{0k4?ܴ/!w\빉vP>L6`ϓ99"u= I/9^ӻAӸD[{Kk,"s!&*
.#l~5 {_4fDz$3$PowA&J? Dmk-ٯ{N߈=iē۠Ahb瀖f7xa,#d7V3Qi2G>FHu_s.#JyDq#gq4ӘGjQ1*̇0>xq6-sDOr0ˍrm~_~7,y?sM^!Ict\11.V7nV-<+ˍ9ˍ?&/x0ۍWg'qZUmZO      ߰h'Ɉ/pݒ/l<"θa!tVUBl5Z,澦4+)ܽ2LwAUЊ˙       烥ރJB5{9gr}(8/{u-}]xAlھB
@RUf˜o__ <xX	D9Q(o+{{p?geng{9g9/Ⱦ`\:./#>133>f]#ƌǓ'{  Lhf7tLn0x*<ܣ}M{TF"6=6ݙbma
BˏGYX v0(|n|9}3sF9f}a~/W-ȷ:-"V&jps"žy@?i%
@RUf˜o__ <xX	D9Q(o+{{p?geng{9g9/Ⱦ`\:./#>133>f]#ƌǓ'{  Lhf7tLn0x*<ܣ}M{TF"6=6ݙbma
BˏGYX v0(|n|9}3sF9f}a~/W-ȷ:-"V&jps"žy@?i%
T63jz}IЗ/XGЉ߁?J75=tYNzhqW^xYgn|Ѝ|!+O\cSUPRTUno GU|
a\h3%>xZ_|ZOv=Ol}kt2fW}hnIJ>        BV)ٯsunZi_:襡\u&}XJ^FO^m}KW/B%f0)QlA'ӀgA3LZ5^,=J@l7$gFZ[6}z1^P_^[evnքT;R,W?hjRTkӤJ׭vGT{L9P=~p{
7$9P~pHW        lKP|?C)+<(onWu3h+O8&bOY+70L̏VMk'٣[~gmX$
goл䑬'=rYgi{&tMzq,YMڲ׶N^#ũWN:ⱆNtp9֪һw'[ӽ/3	yfY0$gW$KG-H4GMxK4Q֡Y	~KOv\]e=Xז|nY{*l{k{j;	tm;-kloWÏYPDk[YGkVtjm[mTC-77nkK:XT1]^v#njw:Omon7F:bs
kRbh2_鑧93Ws^yP6KO9_|X%tҧVyk,7ekʹ<vM~NÑe"d5^K*	# VeA=cWFrjϣuNZ'2r!q6洄M[n>Yfnivi6vi}d*lZ1˽k3M6ܦcpڋmi5"F1vٴ#6sڭ~Nmӎٴ,Nr=f^ilN'm+6-?@iX.Ozզ69miO۴S6m/=hP')
T63jz}IЗ/XGЉ߁?J75=tYNzhqW^xYgn|Ѝ|!+O\cSUPRTUno GU|
a\h3%>xZ_|ZOv=Ol}kt2fW}hnIJ>        BV)ٯsunZi_:襡\u&}XJ^FO^m}KW/B%f0)QlA'ӀgA3LZ5^,=J@l7$gFZ[6}z1^P_^[evnքT;R,W?hjRTkӤJ׭vGT{L9P=~p{
7$9P~pHW        lKP|?C)+<(onWu3h+O8&bOY+70L̏VMk'٣[~gmX$
goл䑬'=rYgi{&tMzq,YMڲ׶N^#ũWN:ⱆNtp9֪һw'[ӽ/3	yfY0$gW$KG-H4GMxK4Q֡Y	~KOv\]e=Xז|nY{*l{k{j;	tm;-kloWÏYPDk[YGkVtjm[mTC-77nkK:XT1]^v#njw:Omon7F:bs
kRbh2_鑧93Ws^yP6KO9_|X%tҧVyk,7ekʹ<vM~NÑe"d5^K*	# VeA=cWFrjϣuNZ'2r!q6洄M[n>Yfnivi6vi}d*lZ1˽k3M6ܦcpڋmi5"F1vٴ#6sڭ~Nmӎٴ,Nr=f^ilN'm+6-?@iX.Ozզ69miO۴S6m/=hP')
=9̼cpF/&v1d?1ul:YOՌ..1In)?itL?y=HZ#qȠ!>G$;Y\>c<|Mg[β =^f5jシQ¯Z6&b-,(ayˍ괘dhK4ހata1q"ir8LsPaCVK&	gckK"Ʃp\ɐE W*CtU!N;ANٴLYne5zo3{o鿅ˀe@2q}иh162e5ך^]+	>-Ym㟁\gd2?ݵrRo./ВN+ȧnLߒ2m4y&r3frBiNkpZԦհ˓78ഇl*6ۦ
iڴ;mZM;q!vڴ6-hT9Wn)2MTM*Dd")3J
=9̼cpF/&v1d?1ul:YOՌ..1In)?itL?y=HZ#qȠ!>G$;Y\>c<|Mg[β =^f5jシQ¯Z6&b-,(ayˍ괘dhK4ހata1q"ir8LsPaCVK&	gckK"Ʃp\ɐE W*CtU!N;ANٴLYne5zo3{o鿅ˀe@2q}иh162e5ך^]+	>-Ym㟁\gd2?ݵrRo./ВN+ȧnLߒ2m4y&r3frBiNkpZԦհ˓78ഇl*6ۦ
iڴ;mZM;q!vڴ6-hT9Wn)2MTM*Dd")3J
X
X
CA?"2g(w(ZG\(Cu`!;(w(ZG\(&iYU~    xݝtTչ9̱i^Ms4WhY!MTQJkZs_M4cG?SM֦r`
CA?"2g(w(ZG\(Cu`!;(w(ZG\(&iYU~    xݝtTչ9̱i^Ms4WhY!MTQJkZs_M4cG?SM֦r`
mX[i2k6+4X[-"56ONi}QiϿphZ-1?VfkrrJLJ|.S"FrL|l,cQk
mX[i2k6+4X[-"56ONi}QiϿphZ-1?VfkrrJLJ|.S"FrL|l,cQk
E)yܹs7
]hRsX[?sjE4L_&e]r(w4L.79N/n92
V9%gI2gtⳮkF6h+bSlDhrSl痽ȮM;snLVMI=vO87pю/j_}H̺
E)yܹs7
]hRsX[?sjE4L_&e]r(w4L.79N/n92
V9%gI2gtⳮkF6h+bSlDhrSl痽ȮM;snLVMI=vO87pю/j_}H̺
ωV&RڒӍ$R~h|/ffO&|3O{((3Ebz6OdC#bzDg)1k@GŞ&,}Zm{.K]僢T}(>jѦB5ҍYy\dz,cU&,/Zmݟ'~XzhųmtG]k(1Ƹ*&7jѓyYh Q6;qEmsh,pj,mKF6b}(^S&K@-|3b7A-_
.Ѭnϊ,y"ӣx4}:o>&S7M/d#AWoY{d9}9ȳe=J1@9!{AoA?}RRÔ\<'KO])cb!9dgT1#>Yf=ăWjzV̸HVWAkk~GO$֡a!=!OHH죅d'WngF_
z.\۠iB|/MmbiOdKo6]-rϧY'ⴋgM֦jwhɹb_KѝF۬8Gwb,Oٳa=OgeȲ,ܓeo#d=q:lﳺ9w߰>-]tdXlòa}K'=k*~26Hv?]{z(]ߛq?&=r=3#>Y~Qebзc3;ns2vt~ck.~\/סo;/K[b~Sz-בo n"inՇmV?P#ɏ'?|1+/%*ko$.{$    vVߟO!7g/#r+ɯ!;!aɟ%sX}%?h&|%jW_Gv#Qɻ>pP#ɏ'?|1+/%*ko$.{$ v\> ?|8S$?|%䗓_I~

䷐I~?N,yy24#?|>B/$_I|-בo n"i>4'?HO%_L~>
ωV&RڒӍ$R~h|/ffO&|3O{((3Ebz6OdC#bzDg)1k@GŞ&,}Zm{.K]僢T}(>jѦB5ҍYy\dz,cU&,/Zmݟ'~XzhųmtG]k(1Ƹ*&7jѓyYh Q6;qEmsh,pj,mKF6b}(^S&K@-|3b7A-_
.Ѭnϊ,y"ӣx4}:o>&S7M/d#AWoY{d9}9ȳe=J1@9!{AoA?}RRÔ\<'KO])cb!9dgT1#>Yf=ăWjzV̸HVWAkk~GO$֡a!=!OHH죅d'WngF_
z.\۠iB|/MmbiOdKo6]-rϧY'ⴋgM֦jwhɹb_KѝF۬8Gwb,Oٳa=OgeȲ,ܓeo#d=q:lﳺ9w߰>-]tdXlòa}K'=k*~26Hv?]{z(]ߛq?&=r=3#>Y~Qebзc3;ns2vt~ck.~\/סo;/K[b~Sz-בo n"inՇmV?P#ɏ'?|1+/%*ko$.{$    vVߟO!7g/#r+ɯ!;!aɟ%sX}%?h&|%jW_Gv#Qɻ>pP#ɏ'?|1+/%*ko$.{$ v\> ?|8S$?|%䗓_I~

䷐I~?N,yy24#?|>B/$_I|-בo n"i>4'?HO%_L~>
Kɯ Zo%^!CC@SQW۬*_G&򷑟D~?J~1gȿ`Կq]l?}qK-NLy~i
d;>lwu!g!K>|"Qאdɭ6=[}mm/٦to-~̭j5>|\y/'_E|̨'Kn=s[;ZmՎl?pޞ[q5.kn}6/"%_N|
QOscʭͮV[c͹MhԕmOqX}?ɏ ?d3!_jԓ!J.E[v=JN{c1.{{n9UJ^٧8GK~2/5ɒ[Ӝzn}˩rkQ,q|){{n5J^٧8GK~2/5ɒ[kznwZnr4Krk-Y7J^٧8GK~2/5ɒ[1ec>VM>|.<Gɗ"_C>F|=F[ȷo'/F=ƉW-F1N|ŞVs'{:8_#}ShԕM~!Jkɯ&|wGQO@e_^Kigf/{:mzUU&C>|EW!#_G|#fq-ȷ	a,Wz^}5Pz~ӽ=קXs_͹os#_@||9*5cדo$L>N|v2!>`ԓ%/ST;س>eZs_͹os#_@||9*5cדo$L>N|v2!>`ԓ%s=FPN/
Ys_͹os#_@||9*5cדo$L>N|v2!>`ԓ%CzׄT=!&${4뱤%~!Jkɯ&|wGQOcqi4u,9.-⛕wQHu*g!K>|"Qא#_O|38m˄0QOUzt^*IzJӽ=dXs_͹os#_@||9*5cדo$L>N|v2!>`ԓ%zߚrߑQܚ!{{2/7l9s/ _D>J|1u7o&'B|;yf0ɒ5rpez=3tobMF]
Kɯ Zo%^!CC@SQW۬*_G&򷑟D~?J~1gȿ`Կq]l?}qK-NLy~i
d;>lwu!g!K>|"Qאdɭ6=[}mm/٦to-~̭j5>|\y/'_E|̨'Kn=s[;ZmՎl?pޞ[q5.kn}6/"%_N|
QOscʭͮV[c͹MhԕmOqX}?ɏ ?d3!_jԓ!J.E[v=JN{c1.{{n9UJ^٧8GK~2/5ɒ[Ӝzn}˩rkQ,q|){{n5J^٧8GK~2/5ɒ[kznwZnr4Krk-Y7J^٧8GK~2/5ɒ[1ec>VM>|.<Gɗ"_C>F|=F[ȷo'/F=ƉW-F1N|ŞVs'{:8_#}ShԕM~!Jkɯ&|wGQO@e_^Kigf/{:mzUU&C>|EW!#_G|#fq-ȷ	a,Wz^}5Pz~ӽ=קXs_͹os#_@||9*5cדo$L>N|v2!>`ԓ%/ST;س>eZs_͹os#_@||9*5cדo$L>N|v2!>`ԓ%s=FPN/
Ys_͹os#_@||9*5cדo$L>N|v2!>`ԓ%CzׄT=!&${4뱤%~!Jkɯ&|wGQOcqi4u,9.-⛕wQHu*g!K>|"Qא#_O|38m˄0QOUzt^*IzJӽ=dXs_͹os#_@||9*5cדo$L>N|v2!>`ԓ%zߚrߑQܚ!{{2/7l9s/ _D>J|1u7o&'B|;yf0ɒ5rpez=3tobMF]
Kɯ Zo%^!=`'?|-F=rwC7>4CO&^g/'S+;V@^' Z54
Y[$'nYvr52ߑ_iiN
BvwE~].UnMo#?4bϐɿFg{:+է2X}W_E~-䷒E~/䏐?AJ]WoZfY/ZlW&_K~5uo'>%i:Y)gKɯ$[$'oY~0/$?Bג_M~&!Gɟ&X}8`%?xSOR+ȯ"F["AGȟ oO`'?|8S$?|%䗓_I~

䷐I~?N,y_?aG'_H~6+W
mֶT2j'Ow>l9s/ _D>J|1u7o&'B|;y-iVߗ䇓CN?H%"Wȯ'oO1g{VAo$?#_Fy#c?%%>Oȟ"LN|\y/'_E||zɷo#N^ˠA䇓CN?H%"Wȯ'oO1g{2{6_wXoڦ 6[#'3Ҭ~ɏ"?={+=d̴̲^f7o!F@pcWj[K~&?N)ϑ.A}3xiIV?S2=P~٦ߝ|ܖx`\=\WnӅѹ#|4o<7Fob>2OaŸ_8>s.tpjy^ۤħϝW#Fi/">Pnb/6i_|Ѽҋy:YǻVYc@LԲ6SmjRLY.=/:>Ok^KbQvVZN]eD뢏=dj݅[eOfkI}T)s'Z}hug*VK}	OO~6:yWQ6oo$²ٽ},a=Y9r^ł^4"Yov%Lgwjxv#,^mogwv@c.Rc=K^q]{/߈x'nLF2\#>'#q#sT[}#aN%T}5:`;
Kɯ Zo%^!=`'?|-F=rwC7>4CO&^g/'S+;V@^' Z54
Y[$'nYvr52ߑ_iiN
BvwE~].UnMo#?4bϐɿFg{:+է2X}W_E~-䷒E~/䏐?AJ]WoZfY/ZlW&_K~5uo'>%i:Y)gKɯ$[$'oY~0/$?Bג_M~&!Gɟ&X}8`%?xSOR+ȯ"F["AGȟ oO`'?|8S$?|%䗓_I~

䷐I~?N,y_?aG'_H~6+W
mֶT2j'Ow>l9s/ _D>J|1u7o&'B|;y-iVߗ䇓CN?H%"Wȯ'oO1g{VAo$?#_Fy#c?%%>Oȟ"LN|\y/'_E||zɷo#N^ˠA䇓CN?H%"Wȯ'oO1g{2{6_wXoڦ 6[#'3Ҭ~ɏ"?={+=d̴̲^f7o!F@pcWj[K~&?N)ϑ.A}3xiIV?S2=P~٦ߝ|ܖx`\=\WnӅѹ#|4o<7Fob>2OaŸ_8>s.tpjy^ۤħϝW#Fi/">Pnb/6i_|Ѽҋy:YǻVYc@LԲ6SmjRLY.=/:>Ok^KbQvVZN]eD뢏=dj݅[eOfkI}T)s'Z}hug*VK}	OO~6:yWQ6oo$²ٽ},a=Y9r^ł^4"Yov%Lgwjxv#,^mogwv@c.Rc=K^q]{/߈x'nLF2\#>'#q#sT[}#aN%T}5:`;
vmS,lSlP`[
vmS,lSlP`[
lZ`[v;vݮXЮX!`q`SLع`e`K[lN=`;s(PZa`
lZ`[v;vݮXЮX!`q`SLع`e`K[lN=`;s(PZa`
f-[
l;n}`s;Nd"P`[
f-[
l;n}`s;Nd"P`[
lZ`[v;v݅Lt!EƁM  6l   r`k6m    a`g|n6l4X>X!l````ց5m
Q`>ȿ>?`#ƃM+Vl*`v    0A
4M3@ߦU6Ů       6I`{l13`/.|B\64CpvNbGoovfӦXȦX6XX.XXXX
lZ`[v;v݅Lt!EƁM  6l   r`k6m    a`g|n6l4X>X!l````ց5m
Q`>ȿ>?`#ƃM+Vl*`v    0A
4M3@ߦU6Ů       6I`{l13`/.|B\64CpvNbGoovfӦXȦX6XX.XXXX
,
y&9b}~UPvXW;rr
,
y&9b}~UPvXW;rr
``U`5`kX#ql.K:++UՀuGw&IXc7֛?S0Cb6lR5ߩ#9b]T.sX9?Lź̩b]6lX`3uGwHX7U*M>SnrX7
6l29`k#~߫b~)߫b6l,d`s#;$GOz_w|һ٩/~?
.2]]e[&.w#֭Sn}[}>(
VV+ՁՃ55Z4bOE$ٯ{;W`*jVkl!5B{rz[@m5v++UՀ`-`m``jltرxr՟STOQ>%,,,,
``U`5`kX#ql.K:++UՀuGw&IXc7֛?S0Cb6lR5ߩ#9b]T.sX9?Lź̩b]6lX`3uGwHX7U*M>SnrX7
6l29`k#~߫b~)߫b6l,d`s#;$GOz_w|һ٩/~?
.2]]e[&.w#֭Sn}[}>(
VV+ՁՃ55Z4bOE$ٯ{;W`*jVkl!5B{rz[@m5v++UՀ`-`m``jltرxr՟STOQ>%,,,,
VVVkk\i%XPg,3TfEjb`u````q6v0՟`wס?KC?KC?KC?KC`9``y``E`Qr*XX=X#X3X
Lgi;џԾʬ42+m6BJjZ`*_NXG؉IWc'
VV+ՁՃ55Z؉wرmr՟2TP9 #,,,,
VVVkk\i%XPg,3TfEjb`u````q6v0՟`wס?KC?KC?KC?KC`9``y``E`Qr*XX=X#X3X
Lgi;џԾʬ42+m6BJjZ`*_NXG؉IWc'
VV+ՁՃ55Z؉wرmr՟2TP9 #,,,,
VVVkkiO_O_O_O_f6XX.XXXX
VVVkkiO_O_O_O_f6XX.XXXX
,VVkkkShrp__~*[l#V]`{;f((lX'$¦.12Vl'`2}OYcp6P`;9v,y%>~|s[:n6
G=^dOGiGl)
,VVkkkShrp__~*[l#V]`{;f((lX'$¦.12Vl'`2}OYcp6P`;9v,y%>~|s[:n6
G=^dOGiGl)
U`k6m `vw8||QhLeg-[
l;n}`w|?1ΘʰO      6l   r`k6m    a`g|~Ų]6l4X>X!l````ց5m
Q`ba6l$x``*[l#V]`{;fǹ`bEƁM       6l   r`k6m    a`g|8D

V6l!X%X5Xm;9RULU|}ON9qn1R,,,,,
U`k6m `vw8||QhLeg-[
l;n}`w|?1ΘʰO      6l   r`k6m    a`g|~Ų]6l4X>X!l````ց5m
Q`ba6l$x``*[l#V]`{;fǹ`bEƁM       6l   r`k6m    a`g|8D

V6l!X%X5Xm;9RULU|}ON9qn1R,,,,,
VVVkkiiv=p1`wM{Ig^{l=&`ok;vV,# FM~G-{{`?)67{S`N#rr
VVVkkiiv=p1`wM{Ig^{l=&`ok;vV,# FM~G-{{`?)67{S`N#rr
``U`5`1:zFf8XXX;ù`׃
v'tJ{EWփm6~Vc`g<ɲ[R7S`ok;ṽsi
l{LX:SgLkkia8z`cL焊^K~Տv7}`=s``?ڕGbi y1TableN>SummaryInformation(&1DocumentSummaryInformation89 CompObjrjf
``U`5`1:zFf8XXX;ù`׃
v'tJ{EWփm6~Vc`g<ɲ[R7S`ok;ṽsi
l{LX:SgLkkia8z`cL焊^K~Տv7}`=s``?ڕGbi y1TableN>SummaryInformation(&1DocumentSummaryInformation89 CompObjrjf
i>@>Normal$xa$CJ_HmH sH     tH     j@j
   Heading 15$$$
i>@>Normal$xa$CJ_HmH sH     tH     j@j
   Heading 15$$$
&F
f!&d0@&P0a$5CJ`OJQJJ@J
       Heading 2$$h@&a$5CJ$OJQJ\@\     Heading 3$$
f!@&a$5CJOJQJmHnHuT@T
   Heading 4$$
f!@&a$56CJOJQJDD   Heading 5$$
f!@&a$
&F
f!&d0@&P0a$5CJ`OJQJJ@J
       Heading 2$$h@&a$5CJ$OJQJ\@\     Heading 3$$
f!@&a$5CJOJQJmHnHuT@T
   Heading 4$$
f!@&a$56CJOJQJDD   Heading 5$$
f!@&a$
6B*CJ FF Heading 6$$
3@&a$6CJ F@F
 Heading 7$$@&a$5CJ@OJQJB@B Heading 8
<@&6CJOJQJD	D	Heading 9
	<@&56CJOJQJ<A@<Default Paragraph FontNONHeading 1 Name$@&a$5CJHOJQJR@RHeader

!&5B*CJOJQJ\mHnHphu< @<
Footer
!CJOJQJ(U@!(	Hyperlink>*B*&)@1&Page NumberV>@VTitle$<a$*CJ`KHOJQJ\_HmHnHsH     tH     uF@F
TOC 1x-DM
6B*CJ FF Heading 6$$
3@&a$6CJ F@F
 Heading 7$$@&a$5CJ@OJQJB@B Heading 8
<@&6CJOJQJD	D	Heading 9
	<@&56CJOJQJ<A@<Default Paragraph FontNONHeading 1 Name$@&a$5CJHOJQJR@RHeader

!&5B*CJOJQJ\mHnHphu< @<
Footer
!CJOJQJ(U@!(	Hyperlink>*B*&)@1&Page NumberV>@VTitle$<a$*CJ`KHOJQJ\_HmHnHsH     tH     uF@F
TOC 1x-DM
5;CJOJQJ:@:
TOC 2,^,;CJOJQJD@bDTOC 3^5CJOJQJmHnHu..
TOC 4^CJ8V@8FollowedHyperlink>*B*<"@<Caption$xa$5CJOJQJ<O<
Table$((a$CJOJQJ\**
Index

5;CJOJQJ:@:
TOC 2,^,;CJOJQJD@bDTOC 3^5CJOJQJmHnHu..
TOC 4^CJ8V@8FollowedHyperlink>*B*<"@<Caption$xa$5CJOJQJ<O<
Table$((a$CJOJQJ\**
Index

&FRR
Appendix Name$a$5CJHOJQJmHnHu8T8
&FRR
Appendix Name$a$5CJHOJQJmHnHu8T8
Block Text]^&B@&
       Body Text@@
Appendix
Block Text]^&B@&
       Body Text@@
Appendix
&F&d0P0JP@JBody Text 2!$da$6B*CJ$]ph.Q".Body Text 3"CJHM2HBody Text First Indent
&F&d0P0JP@JBody Text 2!$da$6B*CJ$]ph.Q".Body Text 3"CJHM2HBody Text First Indent
#`<CB<Body Text Indent
#`<CB<Body Text Indent
$h^h0R0Comment Text%CJL@Date&0+r0Endnote Text'CJ22
Footnote Text(CJ2
$h^h0R0Comment Text%CJL@Date&0+r0Endnote Text'CJ22
Footnote Text(CJ2
2
Index 1)^`:!@:
Index Heading*5OJQJ,/,List+h^h`02@0List 2,^`20@2
List Bullet     -
2
Index 1)^`:!@:
Index Heading*5OJQJ,/,List+h^h`02@0List 2,^`20@2
List Bullet     -
&F       666

List Bullet 2  .
&F       666

List Bullet 2  .
&F
&F
212List Number      /
212List Number      /
&F6:6
List Number 2       0
&F6:6
List Number 2       0
&F66
Normal Indent
&F66
Normal Indent
1^:J":Subtitle2$<@&a$OJQJL,LTable of Authorities3^`D#@DTable of Figures4 ^` :.:TOA Heading5x5OJQJ&&
   Caption 16DDHeadeing 1 Name7$a$5CJHPY@PDocument Map8$-D M
1^:J":Subtitle2$<@&a$OJQJL,LTable of Authorities3^`D#@DTable of Figures4 ^` :.:TOA Heading5x5OJQJ&&
   Caption 16DDHeadeing 1 Name7$a$5CJHPY@PDocument Map8$-D M
a$OJQJ00
TOC 59$^a$00
TOC 6:$^a$00
TOC 7;$^a$00
TOC 8<$^a$00
TOC 9=$^a$DRDBody Text Indent 2>^NSNBody Text Indent 3?$^a$\L^@LNormal (Web)@$dd[$\$a$aJmH       sH     Fg@FHTML TypewriterCJOJPJQJ^JaJZNA"ZBody Text First Indent 2B$^`a$4?24ClosingC$^a$>[B>E-mail SignatureD$a$n$RnEnvelope Address+E$@&+D/^@a$OJQJ^JaJL%bLEnvelope ReturnF$a$CJOJQJ^J<`r<HTML AddressG$a$6]PePHTML PreformattedH$a$CJOJQJ^J<<
Index 2I$^`a$<<
Index 3J$^`a$<
<
Index 4K$^`a$<<
Index 5L$^`a$<<
Index 6M$^`a$<<
Index 7N$^`a$<<
Index 8O$^`a$<<
Index 9P$p^p`a$:3:List 3Q$Q^Q`a$:4":List 4R$l^l`a$:52:List 5S$^`a$R7BR

List Bullet 3%T$
a$OJQJ00
TOC 59$^a$00
TOC 6:$^a$00
TOC 7;$^a$00
TOC 8<$^a$00
TOC 9=$^a$DRDBody Text Indent 2>^NSNBody Text Indent 3?$^a$\L^@LNormal (Web)@$dd[$\$a$aJmH       sH     Fg@FHTML TypewriterCJOJPJQJ^JaJZNA"ZBody Text First Indent 2B$^`a$4?24ClosingC$^a$>[B>E-mail SignatureD$a$n$RnEnvelope Address+E$@&+D/^@a$OJQJ^JaJL%bLEnvelope ReturnF$a$CJOJQJ^J<`r<HTML AddressG$a$6]PePHTML PreformattedH$a$CJOJQJ^J<<
Index 2I$^`a$<<
Index 3J$^`a$<
<
Index 4K$^`a$<<
Index 5L$^`a$<<
Index 6M$^`a$<<
Index 7N$^`a$<<
Index 8O$^`a$<<
Index 9P$p^p`a$:3:List 3Q$Q^Q`a$:4":List 4R$l^l`a$:52:List 5S$^`a$R7BR

List Bullet 3%T$
&F
8^a$R8RR

List Bullet 4%U$
&F
8^a$R8RR

List Bullet 4%U$
&F
^a$R9bR

List Bullet 5%V$
&F
^a$R9bR

List Bullet 5%V$
&F

^a$<Dr<
List ContinueW$^a$@E@List Continue 2X$6^6a$@F@List Continue 3Y$Q^Qa$@G@List Continue 4Z$l^la$@H@List Continue 5[$^a$R;R
List Number 3%\$
&F

^a$<Dr<
List ContinueW$^a$@E@List Continue 2X$6^6a$@F@List Continue 3Y$Q^Qa$@G@List Continue 4Z$l^la$@H@List Continue 5[$^a$R;R
List Number 3%\$
&F
8^a$R<R
List Number 4%]$
&F
8^a$R<R
List Number 4%]$
&F
^a$R=R
List Number 5%^$
&F
^a$R=R
List Number 5%^$
&F
^a$d-d
&F
^a$d-d
Macro Text"_
 `       @ 
OJQJ^J_HmH   sH     tH     IMessage Headerq`$n$d%d&d'd-DM
Macro Text"_
 `       @ 
OJQJ^J_HmH   sH     tH     IMessage Headerq`$n$d%d&d'd-DM
NOPQ^n`a$OJQJ^JaJ6O6Note Headinga$a$BZ"B
NOPQ^n`a$OJQJ^JaJ6O6Note Headinga$a$BZ"B
Plain Textb$a$CJOJQJ^J2K2
Plain Textb$a$CJOJQJ^J2K2
Salutationc$a$8@B8     Signatured$^a$:'@Q:Comment ReferenceCJaJ
Salutationc$a$8@B8     Signatured$^a$:'@Q:Comment ReferenceCJaJ

-_       

-_       









-0   

-0   

_Q,,_n>nqnnno1o[oo.!z#zzzzz!z!z!z!z!z!z!z!z!   v!

_Q,,_n>nqnnno1o[oo.!z#zzzzz!z!z!z!z!z!z!z!z!   v!
z!z!z!
z!z!z!z!z!z!z!z!z!z!v!z!z!z!z!z z z z  z !z!"z!#z!$^s!%z &z 'z (z^nQpa"p%),,4:?YFNR][Dahgkr}ljOu-+tp_$)       
z!z!z!
z!z!z!z!z!z!z!z!z!z!v!z!z!z!z!z z z z  z !z!"z!#z!$^s!%z &z 'z (z^nQpa"p%),,4:?YFNR][Dahgkr}ljOu-+tp_$)       
.
E$ @!!"#$%A&'()*#+,(-
.
E$ @!!"#$%A&'()*#+,(-
+,-@TUVW` ,-1:EZ     ggdz$}PE         ;
+,-@TUVW` ,-1:EZ     ggdz$}PE         ;
=/V

$[]m~eOQR_xJw\pN>ta]^V>?@  - !!!""%"s"t""""#Y#Z#n####:$;$T$%%&%'%0%<%T%p%%%%%%&9&_&&&&&'E'l''''(9(e(((()1)X))))))'*;*a*****+<+]++++++++,,,,,1-2-"/#//\000E111112223`3
4}44b5c56666N6O6p666677Z8[8\88889::;;;D;E;k;;L<<<<<<<>>>?@?~???@h@@AmAnAAABCCDSETEUEEEXFYFFHHHHHIJ.K"L@MAMNNNOOOOOOPPZP[P\PPP%Q&QRQ]QhQwQQQQQRRSSUUVeXfXXXUYVY[[\[][^[[[']]]]f^^_6_7_h__`a`b```a8aDaEa{aa7bbb*ccd`dadd4efggcgdgegfggghgggMhNhOhhhUjVjWjjjkkRkSkkkk"l#lllllllllllmmmmmrrtv	y{}}}}}}N~~~~~~~ˁ́/ׄ؄'`afg}~ƉljÊstя|} !"BNOmZ[sbcdeopqstu06DEF?r'(JK#8DMVWX?@ӨcdԮծAABwx-.\*+øĸrsV	ituxypqrstu4Rp89:;deXYLM01<=^G$-.t^&'b2`3jfYQR^_k+,`0000000000000x0x00@0@0@x0x00``0`0`0`0`0`0`0`0`0`&0`0`0`0`0`!0`h00000000000000000@0@0@0@0000000h0000000000000000000000000000000000000h0h00m0m0m40m40m40m40m@0m 000R0_0_0_0_0R0g -0g -0g -0g -0g -0g -0g -0g -0g -0g -0      g -0
=/V

$[]m~eOQR_xJw\pN>ta]^V>?@  - !!!""%"s"t""""#Y#Z#n####:$;$T$%%&%'%0%<%T%p%%%%%%&9&_&&&&&'E'l''''(9(e(((()1)X))))))'*;*a*****+<+]++++++++,,,,,1-2-"/#//\000E111112223`3
4}44b5c56666N6O6p666677Z8[8\88889::;;;D;E;k;;L<<<<<<<>>>?@?~???@h@@AmAnAAABCCDSETEUEEEXFYFFHHHHHIJ.K"L@MAMNNNOOOOOOPPZP[P\PPP%Q&QRQ]QhQwQQQQQRRSSUUVeXfXXXUYVY[[\[][^[[[']]]]f^^_6_7_h__`a`b```a8aDaEa{aa7bbb*ccd`dadd4efggcgdgegfggghgggMhNhOhhhUjVjWjjjkkRkSkkkk"l#lllllllllllmmmmmrrtv	y{}}}}}}N~~~~~~~ˁ́/ׄ؄'`afg}~ƉljÊstя|} !"BNOmZ[sbcdeopqstu06DEF?r'(JK#8DMVWX?@ӨcdԮծAABwx-.\*+øĸrsV	ituxypqrstu4Rp89:;deXYLM01<=^G$-.t^&'b2`3jfYQR^_k+,`0000000000000x0x00@0@0@x0x00``0`0`0`0`0`0`0`0`0`&0`0`0`0`0`!0`h00000000000000000@0@0@0@0000000h0000000000000000000000000000000000000h0h00m0m0m40m40m40m40m@0m 000R0_0_0_0_0R0g -0g -0g -0g -0g -0g -0g -0g -0g -0g -0      g -0
g -0g -0g -0
g -0g -0g -0-0-00R0-00000000e 0e 0e 0e 00000-0-00 00000(00 0 (0&0!0!(00"0"(00t"0t"(00"0"(00Z#0Z#(00#0#00;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$00+0+`0+0+0+`0+(0+&0,0,0,0,h 0,h 0,h 0,h 0,h 0,0,0,0,k 0,k 01,k 01,k 01,k 0,k 0,k 0,k 0,0,0,@0,0,0,00,0606i 06i 0606060606060600,0\80\8i 0\8i 0\80\80\80\80\800,0;0;j 0;j 0;j 0;0;0;0;(0+&0<0<0<0<m 0<m 0<m 0<m ,0<0<0<n 0<n 0<n 0<n 0<0<0<0<0<l 0<l 0<l 0<l 0<0<0<00<&0UE0UE0UE0UE0UE0UE0UE00<&0H0Hl 0Hl 0Hl 0Hl 0H0H0H0H0H00<0N0No 0No 0No 0N*0N0N0N0N00<0\P0\P0\P0\Pp ,0\Pp 0\Pp 0\Pp 0\Pp 0\Pp 0\P0\P0\P0\P0\P0\P0\P0\P0\Pq 0\P0\P0\P0\Pq 0\P0\P0\P0\P0\P0\P(0+&0^[0^[0^[0^[0^[m 0^[m 0^[m 0^[m ,0^[0^[0^[u 0^[u 0^[u 0^[0^[0^[w 0^[u 0^[u 0^[u 0^[0^[0^[r 0^[r 0^[r 0^[r 07b^[r 07b^[r 07b^[r 07b^[r 07b^[0^[0^[x 0^[t 0^[t 0^[t 0^[t 0^[0^[0^[0^[0^[0^[00^[&0hg0hg0hg0hg00^[0Oh0Oh0Oh0Oh00^[0Wj0Wj0Wj0Wj0Wj0Wj0Wj0Wj00^[0k0ky 0ky 0ky 0ky 0ky 0ky 0ky 0ky 0ky 0ky 0  ky 0
g -0g -0g -0
g -0g -0g -0-0-00R0-00000000e 0e 0e 0e 00000-0-00 00000(00 0 (0&0!0!(00"0"(00t"0t"(00"0"(00Z#0Z#(00#0#00;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$0;$00+0+`0+0+0+`0+(0+&0,0,0,0,h 0,h 0,h 0,h 0,h 0,0,0,0,k 0,k 01,k 01,k 01,k 0,k 0,k 0,k 0,0,0,@0,0,0,00,0606i 06i 0606060606060600,0\80\8i 0\8i 0\80\80\80\80\800,0;0;j 0;j 0;j 0;0;0;0;(0+&0<0<0<0<m 0<m 0<m 0<m ,0<0<0<n 0<n 0<n 0<n 0<0<0<0<0<l 0<l 0<l 0<l 0<0<0<00<&0UE0UE0UE0UE0UE0UE0UE00<&0H0Hl 0Hl 0Hl 0Hl 0H0H0H0H0H00<0N0No 0No 0No 0N*0N0N0N0N00<0\P0\P0\P0\Pp ,0\Pp 0\Pp 0\Pp 0\Pp 0\Pp 0\P0\P0\P0\P0\P0\P0\P0\P0\Pq 0\P0\P0\P0\Pq 0\P0\P0\P0\P0\P0\P(0+&0^[0^[0^[0^[0^[m 0^[m 0^[m 0^[m ,0^[0^[0^[u 0^[u 0^[u 0^[0^[0^[w 0^[u 0^[u 0^[u 0^[0^[0^[r 0^[r 0^[r 0^[r 07b^[r 07b^[r 07b^[r 07b^[r 07b^[0^[0^[x 0^[t 0^[t 0^[t 0^[t 0^[0^[0^[0^[0^[0^[00^[&0hg0hg0hg0hg00^[0Oh0Oh0Oh0Oh00^[0Wj0Wj0Wj0Wj0Wj0Wj0Wj0Wj00^[0k0ky 0ky 0ky 0ky 0ky 0ky 0ky 0ky 0ky 0ky 0  ky 0
k0k0k0k0k0kz 0kz 0kz 0kz 0kz 0k0k0k0k(0+&0}0}0}{ 0}{ 0	}0}0}0}0}0}0}0}0}0}0}0}0}0}`0}0}@0}00}&00(0+&0'0'0'0'0'00'0g0g0g00'0~0~&0~00'00&000'0000'0000'0t0t00'0000'0000'0000'0}0}00'0000'000000000'0"0"00'0O0O00'0[0[0[0[0[ 0[ ,0[,0[,0[(0+&000000000000u0u ,0u 0u0u0u(0+&0F0F ,0F ,0F ,0F ,0F ,0F ,0F,0F0F&0F80F00080F0(0( ,0( ,0( ,0( ,0( ,0( ,0( ,0( ,0(,0(,0(,0(,0(,0(,0(,0(,0(,0(,0(,0(,0(,0(,0(,0(,0(,0(,0(,0(,0(,0(,0(80F00000000000 ,0 0 00000000080F00 0 0000000080F0y0y0y0y0y0y 000u000u&000000000000000(00`00@00u0000(00;0;0;0;0;0;0;0;0;0;0;0;0;0;(00800= 0= 0=0=0=0=8000080000u&0000(00000 ,0 ,0,00 ,0 ,0,0(000 ,0 ,0 ,0 ,0 ,0 ,0 ,0 ,0 ,0 ,0        ,0
k0k0k0k0k0kz 0kz 0kz 0kz 0kz 0k0k0k0k(0+&0}0}0}{ 0}{ 0	}0}0}0}0}0}0}0}0}0}0}0}0}0}`0}0}@0}00}&00(0+&0'0'0'0'0'00'0g0g0g00'0~0~&0~00'00&000'0000'0000'0t0t00'0000'0000'0000'0}0}00'0000'000000000'0"0"00'0O0O00'0[0[0[0[0[ 0[ ,0[,0[,0[(0+&000000000000u0u ,0u 0u0u0u(0+&0F0F ,0F ,0F ,0F ,0F ,0F ,0F,0F0F&0F80F00080F0(0( ,0( ,0( ,0( ,0( ,0( ,0( ,0( ,0(,0(,0(,0(,0(,0(,0(,0(,0(,0(,0(,0(,0(,0(,0(,0(,0(,0(,0(,0(,0(,0(,0(80F00000000000 ,0 0 00000000080F00 0 0000000080F0y0y0y0y0y0y 000u000u&000000000000000(00`00@00u0000(00;0;0;0;0;0;0;0;0;0;0;0;0;0;(00800= 0= 0=0=0=0=8000080000u&0000(00000 ,0 ,0,00 ,0 ,0,0(000 ,0 ,0 ,0 ,0 ,0 ,0 ,0 ,0 ,0 ,0        ,0
 ,0 ,0 ,0
 ,0 ,0 ,0 ,0,0000000000000000000S^,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,/x&^    z
 ,0 ,0 ,0
 ,0 ,0 ,0 ,0,0000000000000000000S^,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,/x&^    z
H/
>V`Y_'3F`uѓ-`_u~,Q>""&),0}8=D.OUbho؈m0      9$'\2_vxyz{|}^w`7:UWXZy     (Gbdeg
1Gbdeg     -D_abd -Zuwxz!"$C]xz{}/JMNPo$    ?     B     C     E     d                                   
H/
>V`Y_'3F`uѓ-`_u~,Q>""&),0}8=D.OUbho؈m0      9$'\2_vxyz{|}^w`7:UWXZy     (Gbdeg
1Gbdeg     -D_abd -Zuwxz!"$C]xz{}/JMNPo$    ?     B     C     E     d                                   
5
5
8
8
9
9
;
;
Z
Z
7:;=\_z}~),-/Nm
5
P
S
T
V
u






!"$Ca|:UXY[      -E`bce.ILMO24,,,,,,+-6MO_
tX%TX%TX%TX%TX%TX%TX%TX%TX%TX%TX%TX%TX%TX%TX%TX%TX%TX%TX%TX%TX%TX%TX%TX%TX%TX%TX%TX%TX%TX%TX%TX%TX%TX%TX%T̕
X%X%X%X%̕:::+SZ_fi/ !! !(*0: 5 ?2$(w(ZG\(CoU$Ub$Rw]SEY2U@ (    
7:;=\_z}~),-/Nm
5
P
S
T
V
u






!"$Ca|:UXY[      -E`bce.ILMO24,,,,,,+-6MO_
tX%TX%TX%TX%TX%TX%TX%TX%TX%TX%TX%TX%TX%TX%TX%TX%TX%TX%TX%TX%TX%TX%TX%TX%TX%TX%TX%TX%TX%TX%TX%TX%TX%TX%TX%T̕
X%X%X%X%̕:::+SZ_fi/ !! !(*0: 5 ?2$(w(ZG\(CoU$Ub$Rw]SEY2U@ (    
|
|


SLA        . `R`TR`T(        H0
SLA        . `R`TR`T(        H0
N
N


SA?B
SA?B
S       ?_o(4/0_Hlt23670716_Toc6650097_Toc23656986Introduction_Toc23656987_Toc23656988_Toc23656989_Toc23656990_Toc23670665_Toc6650099_Toc23656991_Toc23656992_Toc3970670_Toc23656993_Toc23656994_Toc23656995_Toc23656996_Toc23656997_Toc23656998_Toc23656999_Toc23657000_Toc23657001_Toc23657002_Toc23670666_Toc23657003_Toc23657004_Toc23657005_Toc23657006_Toc23670667_Toc23657007_Toc23657008_Toc23657009_Ref4387033_Toc6650107_Toc23657010Clocks_Toc23657011_Toc23657012_Toc23657013_Toc23657014_Toc6650129_Toc23657015_Toc23670668_Toc23657016_Toc23657017_Toc23657018_Toc23657019_Toc23657020QQRR_ !"t""Z##;$+,,<^[}/'Ftttuu;`@
S       ?_o(4/0_Hlt23670716_Toc6650097_Toc23656986Introduction_Toc23656987_Toc23656988_Toc23656989_Toc23656990_Toc23670665_Toc6650099_Toc23656991_Toc23656992_Toc3970670_Toc23656993_Toc23656994_Toc23656995_Toc23656996_Toc23656997_Toc23656998_Toc23656999_Toc23657000_Toc23657001_Toc23657002_Toc23670666_Toc23657003_Toc23657004_Toc23657005_Toc23657006_Toc23670667_Toc23657007_Toc23657008_Toc23657009_Ref4387033_Toc6650107_Toc23657010Clocks_Toc23657011_Toc23657012_Toc23657013_Toc23657014_Toc6650129_Toc23657015_Toc23670668_Toc23657016_Toc23657017_Toc23657018_Toc23657019_Toc23657020QQRR_ !"t""Z##;$+,,<^[}/'Ftttuu;`@

" !'#$%&(*)+,-./QQ^^`+ !$""
#m##S$+,.-<[}_ttuc;%`HLa3434TV$%]^adY\>@ - !!"%"s"""""T$#%'%/%8%;%L%S%l%o%%%%%%%%%&&.&8&w&&&&&&&&

" !'#$%&(*)+,-./QQ^^`+ !$""
#m##S$+,.-<[}_ttuc;%`HLa3434TV$%]^adY\>@ - !!"%"s"""""T$#%'%/%8%;%L%S%l%o%%%%%%%%%&&.&8&w&&&&&&&&
''3'D']'k'''''''((*(8(Q(d(}((((((() )0)I)W)p)~)))))))* *#*&*7*:*****++++;+T+\+u+++++++,,,,$,&,4,6,D,F,T,V,e,--......u//////0 0)050A0M0000021<1o1x1H2K222555556F6666666V7Y777w888888{9~999;:>:`:c:~::::::0;<;I;U;;;;;;;<
''3'D']'k'''''''((*(8(Q(d(}((((((() )0)I)W)p)~)))))))* *#*&*7*:*****++++;+T+\+u+++++++,,,,$,&,4,6,D,F,T,V,e,--......u//////0 0)050A0M0000021<1o1x1H2K222555556F6666666V7Y777w888888{9~999;:>:`:c:~::::::0;<;I;U;;;;;;;<
<W<_<====>>?"?@?N?~????@@(@3@@@)A0A2AAFABBBBBBBBBBBBC"CCCDDDDEEEEEEjHrHHHIIIIuJzJJJKKKK'L,L{NNNO       OOOOVOOOOOwPPPPQQQQ+R4R>RCRRRRRRR=UJUUUWW=XFXXXXX-Y6Y\\\\\\]^f^p^^^__t_x_____`%`````a"a8aBaaaaaWb^bbbdde&eeeffggg!g#g-g2g@gggyhhi&iiiiijjjjkkfklkkkl l^ljllllllllllllllllmmm/m9mmmmmo
oooooApFp[p_pqqorwrss_titttttu     v2v:v^vgvww     xxxxxxxxzzz{,{4{X{a{
<W<_<====>>?"?@?N?~????@@(@3@@@)A0A2AAFABBBBBBBBBBBBC"CCCDDDDEEEEEEjHrHHHIIIIuJzJJJKKKK'L,L{NNNO       OOOOVOOOOOwPPPPQQQQ+R4R>RCRRRRRRR=UJUUUWW=XFXXXXX-Y6Y\\\\\\]^f^p^^^__t_x_____`%`````a"a8aBaaaaaWb^bbbdde&eeeffggg!g#g-g2g@gggyhhi&iiiiijjjjkkfklkkkl l^ljllllllllllllllllmmm/m9mmmmmo
oooooApFp[p_pqqorwrss_titttttu     v2v:v^vgvww     xxxxxxxxzzz{,{4{X{a{
}}=}E}i}r}~~~~k~BTtP]_iktv˄ԄMYyĉϏғޓ "@BJdkGTcf$"#78CDLMUϥ
}}=}E}i}r}~~~~k~BTtP]_iktv˄ԄMYyĉϏғޓ "@BJdkGTcf$"#78CDLMUϥ
ʨéΩک`lnz0<jpu~Wg=F}ǰذFO̱ܱ
BK"_b!%LW\gs~fn*6ADQɼ *KTmxľƾϾѾ޾4DRZpx8!EST]^yz#$EFYZ*%-[`wxIJvw[\opMN=>sta\^UV>@ - !!"%"r"""n##T$$%'%/%8%;%L%O%l%o%%%%%%%%%%%&&.&1&Q&T&w&&&&&&&&
ʨéΩک`lnz0<jpu~Wg=F}ǰذFO̱ܱ
BK"_b!%LW\gs~fn*6ADQɼ *KTmxľƾϾѾ޾4DRZpx8!EST]^yz#$EFYZ*%-[`wxIJvw[\opMN=>sta\^UV>@ - !!"%"r"""n##T$$%'%/%8%;%L%O%l%o%%%%%%%%%%%&&.&1&Q&T&w&&&&&&&&
'
'3'6']'`''''''''((*(-(Q(T(}(((((((( )#)I)L)p)s)))))))))"*&*7*:*S*V*y*|*******++++-+T+V+u+x+++>>??@?C?~??CCDD;SFS7V>VfXjX]^f^i^^^__UrYryyo|w|~~~~~~@L"Aϗї8;DFMO34QRop7^FG$-[`:::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::W,,-[`igorm3C:\cvsroot\ethernet\doc\src\eth_design_document.docigorm3C:\cvsroot\ethernet\doc\src\eth_design_document.docigormlC:\Documents and Settings\igorm\Application Data\Microsoft\Word\AutoRecovery save of eth_design_document.asdigorm3C:\cvsroot\ethernet\doc\src\eth_design_document.docigorm3C:\cvsroot\ethernet\doc\src\eth_design_document.docigorm3C:\cvsroot\ethernet\doc\src\eth_design_document.docigorm3C:\cvsroot\ethernet\doc\src\eth_design_document.docigorm3C:\cvsroot\ethernet\doc\src\eth_design_document.docigormlC:\Documents and Settings\igorm\Application Data\Microsoft\Word\AutoRecovery save of eth_design_document.asdigormlC:\Documents and Settings\igorm\Application Data\Microsoft\Word\AutoRecovery save of eth_design_document.asd}| ,^}>_]~΀\Q|0>qhV(U4
'
'3'6']'`''''''''((*(-(Q(T(}(((((((( )#)I)L)p)s)))))))))"*&*7*:*S*V*y*|*******++++-+T+V+u+x+++>>??@?C?~??CCDD;SFS7V>VfXjX]^f^i^^^__UrYryyo|w|~~~~~~@L"Aϗї8;DFMO34QRop7^FG$-[`:::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::::W,,-[`igorm3C:\cvsroot\ethernet\doc\src\eth_design_document.docigorm3C:\cvsroot\ethernet\doc\src\eth_design_document.docigormlC:\Documents and Settings\igorm\Application Data\Microsoft\Word\AutoRecovery save of eth_design_document.asdigorm3C:\cvsroot\ethernet\doc\src\eth_design_document.docigorm3C:\cvsroot\ethernet\doc\src\eth_design_document.docigorm3C:\cvsroot\ethernet\doc\src\eth_design_document.docigorm3C:\cvsroot\ethernet\doc\src\eth_design_document.docigorm3C:\cvsroot\ethernet\doc\src\eth_design_document.docigormlC:\Documents and Settings\igorm\Application Data\Microsoft\Word\AutoRecovery save of eth_design_document.asdigormlC:\Documents and Settings\igorm\Application Data\Microsoft\Word\AutoRecovery save of eth_design_document.asd}| ,^}>_]~΀\Q|0>qhV(U4
T.*t/-C>riOJef3zB"_7w<z
T.*t/-C>riOJef3zB"_7w<z
,]P\%,r31Z3(6,Vb         ~"N     !BV
,]P\%,r31Z3(6,Vb         ~"N     !BV
$8p6
$8p6
rBJb_Q
Ve/
x98ds<3lVCr[,HC&Q~3)"
rBJb_Q
Ve/
x98ds<3lVCr[,HC&Q~3)"
:xUfF1p BR#Zj,n$ƺ*%vF,% Hk%F&*4Uv|'.
:xUfF1p BR#Zj,n$ƺ*%vF,% Hk%F&*4Uv|'.
r'p"Q'3K)V{+)J|eOw/)*W0x=PO/C0w50l@S82*^A3X7,l5\CFV6hGkE8*4U(k89g9A
r'p"Q'3K)V{+)J|eOw/)*W0x=PO/C0w50l@S82*^A3X7,l5\CFV6hGkE8*4U(k89g9A
;Ðt>,>>>V?P$3?@TJP@f.EUR@Fs4F4?FCGdzGֱ,/GwJRjH2.4qYJ}L9wM:?gLOoT4ROVVlc&EP|mXx*|RȰ}RzZ$TH|g!UI&|VPTV&#LVYE SZ'9x\Z8#]&q:_N2``"Hak2b}n:bfl?eVdF~Wod&SN)Je
;Ðt>,>>>V?P$3?@TJP@f.EUR@Fs4F4?FCGdzGֱ,/GwJRjH2.4qYJ}L9wM:?gLOoT4ROVVlc&EP|mXx*|RȰ}RzZ$TH|g!UI&|VPTV&#LVYE SZ'9x\Z8#]&q:_N2``"Hak2b}n:bfl?eVdF~Wod&SN)Je
Q5pe}Dgj8hNjoe_h&ia%k8^ l:J<y	6mf&m!G?n\2#/oƺ0&o~҈9?q%jst~CCv GBx} eGxƖ?Vy!-y;z
Q5pe}Dgj8hNjoe_h&ia%k8^ l:J<y	6mf&m!G?n\2#/oƺ0&o~҈9?q%jst~CCv GBx} eGxƖ?Vy!-y;z
U2@z-9^z  7ezl$yF{hLE{      |xFup\|BB%}>9~&zh3^`.^`.88^8`.^`.^`OJQJo(^`OJQJo(88^8`OJQJo(8^`CJOJ    QJ     o(hh^h`.hhh^h`CJOJ    QJ     o(*h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(^`CJOJQJo(^`CJOJQJo(opp^p`CJOJ        QJ     o(@@^@`CJOJ      QJ     o(^`CJOJ      QJ     o(^`CJOJ      QJ     o(^`CJOJ      QJ     o(^`CJOJ      QJ     o(PP^P`CJOJ      QJ     o(@^`OJQJo(^`OJQJo(opp^p`OJ    QJ     o(@@^@`OJQJo(^`OJQJo(o^`OJ    QJ     o(^`OJQJo(^`OJQJo(oPP^P`OJ    QJ     o(^`CJOJQJo(^`CJOJQJo(opp^p`CJOJ        QJ     o(@@^@`CJOJ      QJ     o(^`CJOJ      QJ     o(^`CJOJ      QJ     o(^`CJOJ      QJ     o(^`CJOJ      QJ     o(PP^P`CJOJ      QJ     o(h^`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h||^|`OJQJo(hLL^L`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(^`OJPJQJ^Jo(-^`OJQJo(opp^p`OJ    QJ     o(@@^@`OJQJo(^`OJQJo(o^`OJ    QJ     o(^`OJQJo(^`OJQJo(oPP^P`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(hhh^h`OJQJo(h88^8`OJQJo(oh^`OJ    QJ     o(h       ^    `OJQJo(h^`OJQJo(ohxx^x`OJ    QJ     o(hHH^H`OJQJo(h^`OJQJo(oh^`OJ    QJ     o( ^` 56CJ`OJQJo(Appendix Ah^`OJQJo(h^`OJQJo(ohpp^p`OJ   QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(hhh^h`OJ  QJ     o(h88^8`OJQJo(oh^`OJ       QJ     o(h       ^    `OJQJo(h^`OJQJo(ohxx^x`OJ    QJ     o(hHH^H`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(hHh^`OJQJ^Jo(hHohpp^p`OJ    QJ     o(hHh@@^@`OJQJo(hHh^`OJQJ^Jo(hHoh^`OJ  QJ     o(hHh^`OJQJo(hHh^`OJQJ^Jo(hHohPP^P`OJ  QJ     o(hHL^`Lo(.^`.pLp^p`L.@@^@`.^`.L^`L.^`.^`.PLP^P`L.h^`OJQJo(h^`OJQJo(ohpp^p`OJ     QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(
0^`0o(^`.    L      ^    `L.^`.xx^x`.HLH^H`L.^`.^`.L^`L.h^`OJQJo(h^`OJQJo(ohpp^p`OJ      QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(@^`OJQJo(^`OJQJo(opp^p`OJ    QJ     o(@@^@`OJQJo(^`OJQJo(o^`OJ    QJ     o(^`OJQJo(^`OJQJo(oPP^P`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(^`CJOJQJo(^`CJOJQJo(opp^p`CJOJ        QJ     o(@@^@`CJOJ      QJ     o(^`CJOJ      QJ     o(^`CJOJ      QJ     o(^`CJOJ      QJ     o(^`CJOJ      QJ     o(PP^P`CJOJ      QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(oh^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(hHh^`OJQJ^Jo(hHohpp^p`OJ    QJ     o(hHh@@^@`OJQJo(hHh^`OJQJ^Jo(hHoh^`OJ  QJ     o(hHh^`OJQJo(hHh^`OJQJ^Jo(hHohPP^P`OJ  QJ     o(hHh^`OJQJo(hTT^T`OJQJo(oh$ $      ^$    `OJ  QJ     o(h^`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(hdd^d`OJQJo(h44^4`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(hHh^`OJQJ^Jo(hHohpp^p`OJ    QJ     o(hHh@@^@`OJQJo(hHh^`OJQJ^Jo(hHoh^`OJ  QJ     o(hHh@:p."i՜.+,D՜.+,\
px

U2@z-9^z  7ezl$yF{hLE{      |xFup\|BB%}>9~&zh3^`.^`.88^8`.^`.^`OJQJo(^`OJQJo(88^8`OJQJo(8^`CJOJ    QJ     o(hh^h`.hhh^h`CJOJ    QJ     o(*h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(^`CJOJQJo(^`CJOJQJo(opp^p`CJOJ        QJ     o(@@^@`CJOJ      QJ     o(^`CJOJ      QJ     o(^`CJOJ      QJ     o(^`CJOJ      QJ     o(^`CJOJ      QJ     o(PP^P`CJOJ      QJ     o(@^`OJQJo(^`OJQJo(opp^p`OJ    QJ     o(@@^@`OJQJo(^`OJQJo(o^`OJ    QJ     o(^`OJQJo(^`OJQJo(oPP^P`OJ    QJ     o(^`CJOJQJo(^`CJOJQJo(opp^p`CJOJ        QJ     o(@@^@`CJOJ      QJ     o(^`CJOJ      QJ     o(^`CJOJ      QJ     o(^`CJOJ      QJ     o(^`CJOJ      QJ     o(PP^P`CJOJ      QJ     o(h^`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h||^|`OJQJo(hLL^L`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(^`OJPJQJ^Jo(-^`OJQJo(opp^p`OJ    QJ     o(@@^@`OJQJo(^`OJQJo(o^`OJ    QJ     o(^`OJQJo(^`OJQJo(oPP^P`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(hhh^h`OJQJo(h88^8`OJQJo(oh^`OJ    QJ     o(h       ^    `OJQJo(h^`OJQJo(ohxx^x`OJ    QJ     o(hHH^H`OJQJo(h^`OJQJo(oh^`OJ    QJ     o( ^` 56CJ`OJQJo(Appendix Ah^`OJQJo(h^`OJQJo(ohpp^p`OJ   QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(hhh^h`OJ  QJ     o(h88^8`OJQJo(oh^`OJ       QJ     o(h       ^    `OJQJo(h^`OJQJo(ohxx^x`OJ    QJ     o(hHH^H`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(hHh^`OJQJ^Jo(hHohpp^p`OJ    QJ     o(hHh@@^@`OJQJo(hHh^`OJQJ^Jo(hHoh^`OJ  QJ     o(hHh^`OJQJo(hHh^`OJQJ^Jo(hHohPP^P`OJ  QJ     o(hHL^`Lo(.^`.pLp^p`L.@@^@`.^`.L^`L.^`.^`.PLP^P`L.h^`OJQJo(h^`OJQJo(ohpp^p`OJ     QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(
0^`0o(^`.    L      ^    `L.^`.xx^x`.HLH^H`L.^`.^`.L^`L.h^`OJQJo(h^`OJQJo(ohpp^p`OJ      QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(@^`OJQJo(^`OJQJo(opp^p`OJ    QJ     o(@@^@`OJQJo(^`OJQJo(o^`OJ    QJ     o(^`OJQJo(^`OJQJo(oPP^P`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(^`CJOJQJo(^`CJOJQJo(opp^p`CJOJ        QJ     o(@@^@`CJOJ      QJ     o(^`CJOJ      QJ     o(^`CJOJ      QJ     o(^`CJOJ      QJ     o(^`CJOJ      QJ     o(PP^P`CJOJ      QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(oh^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(hHh^`OJQJ^Jo(hHohpp^p`OJ    QJ     o(hHh@@^@`OJQJo(hHh^`OJQJ^Jo(hHoh^`OJ  QJ     o(hHh^`OJQJo(hHh^`OJQJ^Jo(hHohPP^P`OJ  QJ     o(hHh^`OJQJo(hTT^T`OJQJo(oh$ $      ^$    `OJ  QJ     o(h^`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(hdd^d`OJQJo(h44^4`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(hHh^`OJQJ^Jo(hHohpp^p`OJ    QJ     o(hHh@@^@`OJQJo(hHh^`OJQJ^Jo(hHoh^`OJ  QJ     o(hHh@:p."i՜.+,D՜.+,\
px

Opencoreswi,
Opencoreswi,
        Specifications TemplateTitle 8@_PID_HLINKSA|2
_Toc236706682
_Toc236706672
_Toc236706662
_Toc236706651
_Toc236570202
_Toc236570192
_Toc236570182
_Toc236570172
_Toc236570162
_Toc236570152
_Toc236570142
_Toc236570132
_Toc236570122
_Toc236570112
_Toc236570103
_Toc236570093
_Toc236570083
_Toc236570073}
_Toc236570063w
_Toc236570053q
_Toc236570043k
_Toc236570033e
_Toc236570023_
_Toc236570013Y
_Toc23657000;S
_Toc23656999;M
_Toc23656998;G
_Toc23656997;A
_Toc23656996;;
_Toc23656995;5
_Toc23656994;/
_Toc23656993;)
_Toc23656992;#
_Toc23656991;
_Toc23656990:
_Toc23656989:
_Toc23656988:
_Toc23656987:
_Toc23656986%   wishlogo
        Specifications TemplateTitle 8@_PID_HLINKSA|2
_Toc236706682
_Toc236706672
_Toc236706662
_Toc236706651
_Toc236570202
_Toc236570192
_Toc236570182
_Toc236570172
_Toc236570162
_Toc236570152
_Toc236570142
_Toc236570132
_Toc236570122
_Toc236570112
_Toc236570103
_Toc236570093
_Toc236570083
_Toc236570073}
_Toc236570063w
_Toc236570053q
_Toc236570043k
_Toc236570033e
_Toc236570023_
_Toc236570013Y
_Toc23657000;S
_Toc23656999;M
_Toc23656998;G
_Toc23656997;A
_Toc23656996;;
_Toc23656995;5
_Toc23656994;/
_Toc23656993;)
_Toc23656992;#
_Toc23656991;
_Toc23656990:
_Toc23656989:
_Toc23656988:
_Toc23656987:
_Toc23656986%   wishlogo
 FMicrosoft Word Document
 FMicrosoft Word Document
MSWordDocWord.Document.89q^`OJQJo(hHh^`OJQJ^Jo(hHohPP^P`OJ       QJ     o(hHhhh^h`OJQJo(h88^8`OJQJo(oh^`OJ  QJ     o(h       ^    `OJQJo(h^`OJQJo(ohxx^x`OJ    QJ     o(hHH^H`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(oh^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h||^|`OJQJo(hLL^L`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(hHh^`OJQJ^Jo(hHohpp^p`OJ    QJ     o(hHh@@^@`OJQJo(hHh^`OJQJ^Jo(hHoh^`OJ  QJ     o(hHh^`OJQJo(hHh^`OJQJ^Jo(hHohPP^P`OJ  QJ     o(hHh^`OJ        QJ     o(h^`OJQJo(ohpp^p`OJ       QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(hh^h`.P^`P..^`...x    ^`x....   ^`
MSWordDocWord.Document.89q^`OJQJo(hHh^`OJQJ^Jo(hHohPP^P`OJ       QJ     o(hHhhh^h`OJQJo(h88^8`OJQJo(oh^`OJ  QJ     o(h       ^    `OJQJo(h^`OJQJo(ohxx^x`OJ    QJ     o(hHH^H`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(oh^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h||^|`OJQJo(hLL^L`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(hHh^`OJQJ^Jo(hHohpp^p`OJ    QJ     o(hHh@@^@`OJQJo(hHh^`OJQJ^Jo(hHoh^`OJ  QJ     o(hHh^`OJQJo(hHh^`OJQJ^Jo(hHohPP^P`OJ  QJ     o(hHh^`OJ        QJ     o(h^`OJQJo(ohpp^p`OJ       QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(hh^h`.P^`P..^`...x    ^`x....   ^`
..... 
..... 
X^
X^
`X......        
^`.......       
8^`8........   
`^``.........h^`OJQJo(h^`OJQJo(ohpp^p`OJ     QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h||^|`OJQJo(hLL^L`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(hh^h`h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(@^`OJQJo(^`OJQJo(opp^p`OJ    QJ     o(@@^@`OJQJo(^`OJQJo(o^`OJ    QJ     o(^`OJQJo(^`OJQJo(oPP^P`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(0^`0o(^`.    L      ^    `L.^`.xx^x`.HLH^H`L.^`.^`.L^`L.h88^8`OJQJo(h^`OJQJo(oh           ^    `OJ  QJ     o(h^`OJQJo(hxx^x`OJQJo(ohHH^H`OJ    QJ     o(h^`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(h^`   
`X......        
^`.......       
8^`8........   
`^``.........h^`OJQJo(h^`OJQJo(ohpp^p`OJ     QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h||^|`OJQJo(hLL^L`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(hh^h`h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(@^`OJQJo(^`OJQJo(opp^p`OJ    QJ     o(@@^@`OJQJo(^`OJQJo(o^`OJ    QJ     o(^`OJQJo(^`OJQJo(oPP^P`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(0^`0o(^`.    L      ^    `L.^`.xx^x`.HLH^H`L.^`.^`.L^`L.h88^8`OJQJo(h^`OJQJo(oh           ^    `OJ  QJ     o(h^`OJQJo(hxx^x`OJQJo(ohHH^H`OJ    QJ     o(h^`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(h^`   

 !"#$%&'()*+,-./0123456789:;<=>?OJQJo(oh^`OJ   QJ     o(h||^|`OJQJo(hLL^L`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`.h^`.hpLp^p`L.h@@^@`.h^`.hL^`L.h^`.h^`.hPLP^P`L.h^`.h^`.hpLp^p`L.h@@^@`.h^`.hL^`L.h^`.h^`.hPLP^P`L.h^`56CJ`OJQJo(Indexh^`OJQJo(h^`OJQJo(ohpp^p`OJ   QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(hHh^`OJQJ^Jo(hHohpp^p`OJ    QJ     o(hHh@@^@`OJQJo(hHh^`OJQJ^Jo(hHoh^`OJ  QJ     o(hHh^`OJQJo(hHh^`OJQJ^Jo(hHohPP^P`OJ  QJ     o(hHhh^h`.h^`OJQJo(h^`OJQJo(ohpp^p`OJ        QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h||^|`OJQJo(hLL^L`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(oh^`OJ    QJ     o( ^` 56CJ`OJQJo(Appendix Bh^`OJQJo(h^`OJQJo(ohpp^p`OJ   QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`56CJ`OJQJo(h^`OJQJo(h^`OJQJo(ohpp^p`OJ       QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(0^`0o(^`.    L      ^    `L.^`.xx^x`.HLH^H`L.^`.^`.L^`L.h^`OJQJo(h^`OJQJo(ohpp^p`OJ      QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h[[^[`OJQJo(oh++^+`OJ    QJ     o(h^`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(hh^h`.h^`OJQJo(hHh^`OJQJ^Jo(hHohpp^p`OJ  QJ     o(hHh@@^@`OJQJo(hHh^`OJQJ^Jo(hHoh^`OJ  QJ     o(hHh^`OJQJo(hHh^`OJQJ^Jo(hHohPP^P`OJ  QJ     o(hHh^`OJQJo(h^`OJQJo(ohpp^p`OJ  QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(hHh^`OJQJ^Jo(hHohpp^p`OJQJo(hHh@@^@`OJQJo(hHh^`OJQJ^Jo(hHoh^`OJ QJ     o(hHh^`OJQJo(hHh^`OJQJ^Jo(hHohPP^P`OJ  QJ     o(hHh^`OJQJo(h^`OJQJo(oh^`OJ  QJ     o(h||^|`OJQJo(hLL^L`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(^`OJQJo(opp^p`OJ    QJ     o(@@^@`OJQJo(^`OJQJo(o^`OJ    QJ     o(^`OJQJo(^`OJQJo(oPP^P`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(0^`0o(^`.    L      ^    `L.^`.xx^x`.HLH^H`L.^`.^`.L^`L.h^`OJQJo(h^`OJQJo(ohpp^p`OJ      QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(hHh^`OJQJ^Jo(hHohpp^p`OJ    QJ     o(hHh@@^@`OJQJo(hHh^`OJQJ^Jo(hHoh^`OJ  QJ     o(hHh^`OJQJo(hHh^`OJQJ^Jo(hHohPP^P`OJ  QJ     o(hHh^`OJQJo(h^`OJQJo(ohpp^p`OJ  QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(^`OJQJo(opp^p`OJ    QJ     o(@@^@`OJQJo(^`OJQJo(o^`OJ    QJ     o(^`OJQJo(^`OJQJo(oPP^P`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h88^8`OJQJo(h^`OJQJo(oh         ^    `OJ  QJ     o(h^`OJQJo(hxx^x`OJQJo(ohHH^H`OJ    QJ     o(h^`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(hh^h`OJQJo(h~~^~`OJQJo(hHhNN^N`OJQJ^Jo(hHoh

 !"#$%&'()*+,-./0123456789:;<=>?OJQJo(oh^`OJ   QJ     o(h||^|`OJQJo(hLL^L`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`.h^`.hpLp^p`L.h@@^@`.h^`.hL^`L.h^`.h^`.hPLP^P`L.h^`.h^`.hpLp^p`L.h@@^@`.h^`.hL^`L.h^`.h^`.hPLP^P`L.h^`56CJ`OJQJo(Indexh^`OJQJo(h^`OJQJo(ohpp^p`OJ   QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(hHh^`OJQJ^Jo(hHohpp^p`OJ    QJ     o(hHh@@^@`OJQJo(hHh^`OJQJ^Jo(hHoh^`OJ  QJ     o(hHh^`OJQJo(hHh^`OJQJ^Jo(hHohPP^P`OJ  QJ     o(hHhh^h`.h^`OJQJo(h^`OJQJo(ohpp^p`OJ        QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h||^|`OJQJo(hLL^L`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(oh^`OJ    QJ     o( ^` 56CJ`OJQJo(Appendix Bh^`OJQJo(h^`OJQJo(ohpp^p`OJ   QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`56CJ`OJQJo(h^`OJQJo(h^`OJQJo(ohpp^p`OJ       QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(0^`0o(^`.    L      ^    `L.^`.xx^x`.HLH^H`L.^`.^`.L^`L.h^`OJQJo(h^`OJQJo(ohpp^p`OJ      QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h[[^[`OJQJo(oh++^+`OJ    QJ     o(h^`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(hh^h`.h^`OJQJo(hHh^`OJQJ^Jo(hHohpp^p`OJ  QJ     o(hHh@@^@`OJQJo(hHh^`OJQJ^Jo(hHoh^`OJ  QJ     o(hHh^`OJQJo(hHh^`OJQJ^Jo(hHohPP^P`OJ  QJ     o(hHh^`OJQJo(h^`OJQJo(ohpp^p`OJ  QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(hHh^`OJQJ^Jo(hHohpp^p`OJQJo(hHh@@^@`OJQJo(hHh^`OJQJ^Jo(hHoh^`OJ QJ     o(hHh^`OJQJo(hHh^`OJQJ^Jo(hHohPP^P`OJ  QJ     o(hHh^`OJQJo(h^`OJQJo(oh^`OJ  QJ     o(h||^|`OJQJo(hLL^L`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(^`OJQJo(opp^p`OJ    QJ     o(@@^@`OJQJo(^`OJQJo(o^`OJ    QJ     o(^`OJQJo(^`OJQJo(oPP^P`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(0^`0o(^`.    L      ^    `L.^`.xx^x`.HLH^H`L.^`.^`.L^`L.h^`OJQJo(h^`OJQJo(ohpp^p`OJ      QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(hHh^`OJQJ^Jo(hHohpp^p`OJ    QJ     o(hHh@@^@`OJQJo(hHh^`OJQJ^Jo(hHoh^`OJ  QJ     o(hHh^`OJQJo(hHh^`OJQJ^Jo(hHohPP^P`OJ  QJ     o(hHh^`OJQJo(h^`OJQJo(ohpp^p`OJ  QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(^`OJQJo(opp^p`OJ    QJ     o(@@^@`OJQJo(^`OJQJo(o^`OJ    QJ     o(^`OJQJo(^`OJQJo(oPP^P`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h88^8`OJQJo(h^`OJQJo(oh         ^    `OJ  QJ     o(h^`OJQJo(hxx^x`OJQJo(ohHH^H`OJ    QJ     o(h^`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(hh^h`OJQJo(h~~^~`OJQJo(hHhNN^N`OJQJ^Jo(hHoh


^
^
`OJ  QJ     o(hHh^`OJQJo(hHh^`OJQJ^Jo(hHoh^`OJ  QJ     o(hHh^^^^`OJQJo(hHh..^.`OJQJ^Jo(hHoh^`OJ  QJ     o(hHh^`OJQJo(h^`OJQJo(ohpp^p`OJQJo(h@@^@`OJQJo(h^`OJQJo(oh^`OJ QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(hh^h`.h^`OJQJo(h^`OJQJo(ohpp^p`OJ  QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(hHh^`OJQJ^Jo(hHohpp^p`OJ    QJ     o(hHh@@^@`OJQJo(hHh^`OJQJ^Jo(hHoh^`OJ  QJ     o(hHh^`OJQJo(hHh^`OJQJ^Jo(hHohPP^P`OJ  QJ     o(hHh^`OJQJo(h^`OJQJo(ohpp^p`OJ  QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(>,l5c&EP9^zE{:_e/
wM~}|wMe/
gj8hTV:_4qYJV?i%kRjHR@Ft        px?@"_P@,n$#/o0&o{+)eGx9t>2`1p  lp6
`OJ  QJ     o(hHh^`OJQJo(hHh^`OJQJ^Jo(hHoh^`OJ  QJ     o(hHh^^^^`OJQJo(hHh..^.`OJQJ^Jo(hHoh^`OJ  QJ     o(hHh^`OJQJo(h^`OJQJo(ohpp^p`OJQJo(h@@^@`OJQJo(h^`OJQJo(oh^`OJ QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(hh^h`.h^`OJQJo(h^`OJQJo(ohpp^p`OJ  QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(hHh^`OJQJ^Jo(hHohpp^p`OJ    QJ     o(hHh@@^@`OJQJo(hHh^`OJQJ^Jo(hHoh^`OJ  QJ     o(hHh^`OJQJo(hHh^`OJQJ^Jo(hHohPP^P`OJ  QJ     o(hHh^`OJQJo(h^`OJQJo(ohpp^p`OJ  QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohpp^p`OJ    QJ     o(h@@^@`OJQJo(h^`OJQJo(oh^`OJ    QJ     o(h^`OJQJo(h^`OJQJo(ohPP^P`OJ    QJ     o(>,l5c&EP9^zE{:_e/
wM~}|wMe/
gj8hTV:_4qYJV?i%kRjHR@Ft        px?@"_P@,n$#/o0&o{+)eGx9t>2`1p  lp6
B%}jsy   6mQ5peCCv"N       Vy,%f3b _Q
3K)7wZ$Txg!U.Es
B%}jsy   6mQ5peCCv"N       Vy,%f3b _Q
3K)7wZ$Txg!U.Es
;!G?nz#-y;z9x\^A31,]xU2@z%,iODy9~eVdf}RodOw/F&kE8Ha3(6zhs4F2b)"9?q?gLO:bw50<3*W0Z4?FyF{G9
;!G?nz#-y;z9x\^A31,]xU2@z%,iODy9~eVdf}RodOw/F&kE8Ha3(6zhs4F2b)"9?q?gLO:bw50<3*W0Z4?FyF{G9
r'&|V |v|'mp\|GBx/C07ez4ROe_h'Cr[9y      /C0y 4RO@z mz e_hz GBx${ *%,/GVYBV
r'&|V |v|'mp\|GBx/C07ez4ROe_h'Cr[9y      /C0y 4RO@z mz e_hz GBx${ *%,/GVYBV
#]CG*|RFV6k%)Je(k89&Q@S82,u @^`OJQJo(pu!^`OJQJo(ou"pp^p`OJ	QJ	o(0v#@@^@`OJQJo(v$^`OJQJo(ov%^`OJ	QJ	o(Pw&^`OJQJo(w'^`OJQJo(ox(PP^P`OJ	QJ	o(|x @^`OJQJo(x @^`56>*CJOJQJo(Py @@^`OJQJo(0Qf11161M00Qf11161M00j S0M00j S0M00ɛ S0M00֛ S0M0}}									*^2NpVX!fF46@BC>r								D|1:H2*`)@̖																																				$$$$$$$$$																		$$$$$$$$$																																													$$$$$$$$$																		UZ$$$$$$$$$$$$$$$$$.$$$$$$$$																																				C>r								$$$$$$$$$nRdXh\&b4fҸ/$6I$$$$$$$$$$$$$$$$$$																																													$$$$$$$$$																		$$$$$$$$$$$$$$$$$$									$$$$$$$$$$$$$$$$$$$$$$$$$$$																																													C>r																										<$$$$$$$$									$$$$$$$$$									$$$$$$$$$																		$$$$$$$$$									$$$$$$$$$																																				$$$$$$$$$																		$$$$$$$$$									$$$$$$$$$h$$$$$$$$$$$$$$$$$									$$$$$$$$$$$$$$$$$$																																																																																										Zx$$$$$$$$																																																																																																																					$$$$$$$$$` ,-1:ECK.	߿u,-.0123456789:;<VY`@__lU__
!_p@UnknownJeanne Wiegelmann
#]CG*|RFV6k%)Je(k89&Q@S82,u @^`OJQJo(pu!^`OJQJo(ou"pp^p`OJ	QJ	o(0v#@@^@`OJQJo(v$^`OJQJo(ov%^`OJ	QJ	o(Pw&^`OJQJo(w'^`OJQJo(ox(PP^P`OJ	QJ	o(|x @^`OJQJo(x @^`56>*CJOJQJo(Py @@^`OJQJo(0Qf11161M00Qf11161M00j S0M00j S0M00ɛ S0M00֛ S0M0}}									*^2NpVX!fF46@BC>r								D|1:H2*`)@̖																																				$$$$$$$$$																		$$$$$$$$$																																													$$$$$$$$$																		UZ$$$$$$$$$$$$$$$$$.$$$$$$$$																																				C>r								$$$$$$$$$nRdXh\&b4fҸ/$6I$$$$$$$$$$$$$$$$$$																																													$$$$$$$$$																		$$$$$$$$$$$$$$$$$$									$$$$$$$$$$$$$$$$$$$$$$$$$$$																																													C>r																										<$$$$$$$$									$$$$$$$$$									$$$$$$$$$																		$$$$$$$$$									$$$$$$$$$																																				$$$$$$$$$																		$$$$$$$$$									$$$$$$$$$h$$$$$$$$$$$$$$$$$									$$$$$$$$$$$$$$$$$$																																																																																										Zx$$$$$$$$																																																																																																																					$$$$$$$$$` ,-1:ECK.	߿u,-.0123456789:;<VY`@__lU__
!_p@UnknownJeanne Wiegelmann
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.