OpenCores
URL https://opencores.org/ocsvn/ethmac/ethmac/trunk

Subversion Repositories ethmac

[/] [ethmac/] [trunk/] [bench/] [verilog/] [tb_ethernet.v] - Diff between revs 181 and 182

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 181 Rev 182
Line 40... Line 40...
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
//
//
// CVS Revision History
// CVS Revision History
//
//
// $Log: not supported by cvs2svn $
// $Log: not supported by cvs2svn $
 
// Revision 1.12  2002/09/16 15:10:42  mohor
 
// MIIM test look better.
 
//
// Revision 1.11  2002/09/13 19:18:04  mohor
// Revision 1.11  2002/09/13 19:18:04  mohor
// Bench outputs data to display every 128 bytes.
// Bench outputs data to display every 128 bytes.
//
//
// Revision 1.10  2002/09/13 18:44:29  mohor
// Revision 1.10  2002/09/13 18:44:29  mohor
// Beautiful tests merget together
// Beautiful tests merget together
Line 4424... Line 4427...
    if (i_length == (max_tmp - 4))
    if (i_length == (max_tmp - 4))
    begin
    begin
      $display("    packets with lengths (including FCS) from %0d to %0d are checked",
      $display("    packets with lengths (including FCS) from %0d to %0d are checked",
               tmp_data, (i_length + 4));
               tmp_data, (i_length + 4));
    end
    end
    else if (!((i_length + 4) % 128)) // 8'h7C + 8'h04 = 8'h80 (128), because i_length has length - 4 value
    else if (!((i_length + 4) % 128)) // every 128 bytes 
    begin
    begin
      $display("    packets with lengths (including FCS) from %0d to %0d are checked",
      $display("    packets with lengths (including FCS) from %0d to %0d are checked",
               tmp_data, (i_length + 4));
               tmp_data, (i_length + 4));
      tmp_data = i_length + 4 + 1; // next starting length is for +1 longer
      tmp_data = i_length + 4 + 1; // next starting length is for +1 longer
    end
    end

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.