OpenCores
URL https://opencores.org/ocsvn/fade_ether_protocol/fade_ether_protocol/trunk

Subversion Repositories fade_ether_protocol

[/] [fade_ether_protocol/] [trunk/] [stable_jumbo_frames_version/] [fpga/] [build_proj_afck_8ch] - Diff between revs 40 and 44

Show entire file | Details | Blame | View Log

Rev 40 Rev 44
Line 1... Line 1...
#!/bin/bash
#!/bin/bash
 
set -e
(
(
 cd src
 cd src
 for i in *.rec; do
 for i in *.rec; do
   ./rec_to_pkg.py $i
   ./rec_to_pkg.py $i
 done
 done
)
)
vivado -mode batch -source fade_afck_8ch.tcl
PROJ_DEF=afck_8ch_proj_def.tcl
 
vivado -mode batch -source $PROJ_DEF -source vextproj/eprj_create.tcl
 
vivado -mode batch -source $PROJ_DEF -source vextproj/eprj_write.tcl
 
vivado -mode batch -source $PROJ_DEF -source vextproj/eprj_build.tcl

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.