OpenCores
URL https://opencores.org/ocsvn/fade_ether_protocol/fade_ether_protocol/trunk

Subversion Repositories fade_ether_protocol

[/] [fade_ether_protocol/] [trunk/] [stable_jumbo_frames_version/] [fpga/] [src/] [desc_manager_simple.vhd] - Diff between revs 43 and 45

Show entire file | Details | Blame | View Log

Rev 43 Rev 45
Line 5... Line 5...
-- File       : desc_manager.vhd
-- File       : desc_manager.vhd
-- Author     : Wojciech M. Zabolotny (wzab@ise.pw.edu.pl)
-- Author     : Wojciech M. Zabolotny (wzab@ise.pw.edu.pl)
-- License    : BSD License
-- License    : BSD License
-- Company    : 
-- Company    : 
-- Created    : 2012-03-30
-- Created    : 2012-03-30
-- Last update: 2017-01-22
-- Last update: 2017-01-23
-- Platform   : 
-- Platform   : 
-- Standard   : VHDL'93
-- Standard   : VHDL'93
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Description: This file implements the state machine, which manages the
-- Description: This file implements the state machine, which manages the
-- table of packet descriptors, used to resend only not confirmed packets
-- table of packet descriptors, used to resend only not confirmed packets
Line 379... Line 379...
    r_i.cmd_ack_0 <= cmd_ack;
    r_i.cmd_ack_0 <= cmd_ack;
    r_i.cmd_ack   <= r.cmd_ack_0;
    r_i.cmd_ack   <= r.cmd_ack_0;
    if r.retr_delay /= to_unsigned(0, r.retr_delay'length) then
    if r.retr_delay /= to_unsigned(0, r.retr_delay'length) then
      r_i.retr_delay <= r.retr_delay-1;
      r_i.retr_delay <= r.retr_delay-1;
    end if;
    end if;
    dbg             <= "0";             -- default to avoid latch
    dbg             <= x"0";            -- default to avoid latch
    dmgr_state_next <= dmgr_state;
    dmgr_state_next <= dmgr_state;
    -- State machine
    -- State machine
    case dmgr_state is
    case dmgr_state is
      when ST_DMGR_RST =>
      when ST_DMGR_RST =>
        dbg             <= x"1";
        dbg             <= x"1";

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.