OpenCores
URL https://opencores.org/ocsvn/fade_ether_protocol/fade_ether_protocol/trunk

Subversion Repositories fade_ether_protocol

[/] [fade_ether_protocol/] [trunk/] [stable_jumbo_frames_version/] [fpga/] [src/] [fade_one_channel.vhd] - Diff between revs 40 and 42

Show entire file | Details | Blame | View Log

Rev 40 Rev 42
Line 3... Line 3...
use ieee.numeric_std.all;
use ieee.numeric_std.all;
use work.pkt_ack_pkg.all;
use work.pkt_ack_pkg.all;
use work.desc_mgr_pkg.all;
use work.desc_mgr_pkg.all;
library unisim;
library unisim;
use unisim.vcomponents.all;
use unisim.vcomponents.all;
 
library work;
 
 
entity fade_one_channel is
entity fade_one_channel is
  generic (
  generic (
    my_mac : std_logic_vector(47 downto 0));
    my_mac : std_logic_vector(47 downto 0));
  port (
  port (
Line 387... Line 388...
 
 
  dta_we <= s_dta_we;
  dta_we <= s_dta_we;
 
 
  dta <= std_logic_vector(test_dta);
  dta <= std_logic_vector(test_dta);
 
 
  process (Clk_user, rst_n)
  process (Clk_user, fade_rst_n)
  begin  -- process
  begin  -- process
    if fade_rst_n = '0' then            -- asynchronous reset (active low)
    if fade_rst_n = '0' then            -- asynchronous reset (active low)
      test_dta <= (others => '0');
      test_dta <= (others => '0');
      td_del0  <= '0';
      td_del0  <= '0';
      td_del1  <= '0';
      td_del1  <= '0';

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.