Line 160... |
Line 160... |
end component;
|
end component;
|
|
|
component ten_gig_eth_pcs_pma_0 is
|
component ten_gig_eth_pcs_pma_0 is
|
port (
|
port (
|
dclk : in std_logic;
|
dclk : in std_logic;
|
|
rxrecclk_out : out std_logic;
|
refclk_p : in std_logic;
|
refclk_p : in std_logic;
|
refclk_n : in std_logic;
|
refclk_n : in std_logic;
|
sim_speedup_control : in std_logic;
|
sim_speedup_control : in std_logic;
|
core_clk156_out : out std_logic;
|
coreclk_out : out std_logic;
|
qplloutclk_out : out std_logic;
|
qplloutclk_out : out std_logic;
|
qplloutrefclk_out : out std_logic;
|
qplloutrefclk_out : out std_logic;
|
qplllock_out : out std_logic;
|
qplllock_out : out std_logic;
|
txusrclk_out : out std_logic;
|
txusrclk_out : out std_logic;
|
txusrclk2_out : out std_logic;
|
txusrclk2_out : out std_logic;
|
areset_clk156_out : out std_logic;
|
areset_datapathclk_out : out std_logic;
|
gttxreset_out : out std_logic;
|
gttxreset_out : out std_logic;
|
gtrxreset_out : out std_logic;
|
gtrxreset_out : out std_logic;
|
txuserrdy_out : out std_logic;
|
txuserrdy_out : out std_logic;
|
reset_counter_done_out : out std_logic;
|
reset_counter_done_out : out std_logic;
|
reset : in std_logic;
|
reset : in std_logic;
|
Line 207... |
Line 208... |
rxp : in std_logic;
|
rxp : in std_logic;
|
rxn : in std_logic;
|
rxn : in std_logic;
|
configuration_vector : in std_logic_vector (535 downto 0);
|
configuration_vector : in std_logic_vector (535 downto 0);
|
status_vector : out std_logic_vector (447 downto 0);
|
status_vector : out std_logic_vector (447 downto 0);
|
core_status : out std_logic_vector (7 downto 0);
|
core_status : out std_logic_vector (7 downto 0);
|
resetdone : out std_logic;
|
resetdone_out : out std_logic;
|
signal_detect : in std_logic;
|
signal_detect : in std_logic;
|
tx_fault : in std_logic;
|
tx_fault : in std_logic;
|
drp_req : out std_logic;
|
drp_req : out std_logic;
|
drp_gnt : in std_logic;
|
drp_gnt : in std_logic;
|
drp_den_o : out std_logic;
|
drp_den_o : out std_logic;
|
Line 398... |
Line 399... |
|
|
ten_gig_eth_pcs_pma_0_1 : ten_gig_eth_pcs_pma_0
|
ten_gig_eth_pcs_pma_0_1 : ten_gig_eth_pcs_pma_0
|
|
|
port map (
|
port map (
|
dclk => clk_user,
|
dclk => clk_user,
|
sim_speedup_control => '0',
|
rxrecclk_out => open, --??
|
refclk_p => refclk_p,
|
refclk_p => refclk_p,
|
refclk_n => refclk_n,
|
refclk_n => refclk_n,
|
reset => reset,
|
sim_speedup_control => '0',
|
resetdone => s_resetdone,
|
coreclk_out => core_clk156_out,
|
core_clk156_out => core_clk156_out,
|
qplloutclk_out => qplloutclk_out,
|
txp => gtx10g_txp,
|
qplloutrefclk_out => qplloutrefclk_out,
|
txn => gtx10g_txn,
|
qplllock_out => qplllock_out,
|
rxp => gtx10g_rxp,
|
|
rxn => gtx10g_rxn,
|
|
txusrclk_out => s_txusrclk_out,
|
txusrclk_out => s_txusrclk_out,
|
txusrclk2_out => s_txusrclk2_out,
|
txusrclk2_out => s_txusrclk2_out,
|
areset_clk156_out => areset_clk156_out,
|
areset_datapathclk_out => areset_clk156_out,
|
gttxreset_out => gttxreset_out,
|
gttxreset_out => gttxreset_out,
|
gtrxreset_out => gtrxreset_out,
|
gtrxreset_out => gtrxreset_out,
|
txuserrdy_out => txuserrdy_out,
|
txuserrdy_out => txuserrdy_out,
|
reset_counter_done_out => reset_counter_done_out,
|
reset_counter_done_out => reset_counter_done_out,
|
qplllock_out => qplllock_out,
|
reset => reset,
|
qplloutclk_out => qplloutclk_out,
|
gt0_eyescanreset => '0',
|
qplloutrefclk_out => qplloutrefclk_out,
|
gt0_eyescantrigger => '0',
|
|
gt0_rxcdrhold => '0',
|
|
gt0_txprbsforceerr => '0',
|
|
gt0_txpolarity => '1',
|
|
gt0_rxpolarity => '1',
|
|
gt0_rxrate => (others => '0'),
|
|
gt0_txpmareset => '0',
|
|
gt0_rxpmareset => '0',
|
|
gt0_rxdfelpmreset => '0',
|
|
gt0_txprecursor => (others => '0'),
|
|
gt0_txpostcursor => (others => '0'),
|
|
gt0_txdiffctrl => "1110",
|
|
gt0_rxlpmen => '0',
|
|
gt0_eyescandataerror => open,
|
|
gt0_txbufstatus => open,
|
|
gt0_txresetdone => open,
|
|
gt0_rxresetdone => open,
|
|
gt0_rxbufstatus => open,
|
|
gt0_rxprbserr => open,
|
|
gt0_dmonitorout => open,
|
xgmii_txd => xgmii_txd,
|
xgmii_txd => xgmii_txd,
|
xgmii_txc => xgmii_txc,
|
xgmii_txc => xgmii_txc,
|
xgmii_rxd => xgmii_rxd,
|
xgmii_rxd => xgmii_rxd,
|
xgmii_rxc => xgmii_rxc,
|
xgmii_rxc => xgmii_rxc,
|
|
txp => gtx10g_txp,
|
|
txn => gtx10g_txn,
|
|
rxp => gtx10g_rxp,
|
|
rxn => gtx10g_rxn,
|
configuration_vector => configuration_vector,
|
configuration_vector => configuration_vector,
|
status_vector => status_vector,
|
status_vector => status_vector,
|
core_status => core_status,
|
core_status => core_status,
|
|
resetdone_out => s_resetdone,
|
signal_detect => signal_detect,
|
signal_detect => signal_detect,
|
tx_fault => tx_fault,
|
tx_fault => tx_fault,
|
drp_req => drp_req,
|
drp_req => drp_req,
|
drp_gnt => drp_gnt,
|
drp_gnt => drp_gnt,
|
drp_den_o => drp_den_o,
|
drp_den_o => drp_den_o,
|
drp_dwe_o => drp_dwe_o,
|
drp_dwe_o => drp_dwe_o,
|
drp_daddr_o => drp_daddr_o,
|
drp_daddr_o => drp_daddr_o,
|
drp_di_o => drp_di_o,
|
drp_di_o => drp_di_o,
|
drp_drdy_o => drp_drdy_o,
|
drp_drdy_i => drp_drdy_i,
|
drp_drpdo_o => drp_drpdo_o,
|
drp_drpdo_i => drp_drpdo_i,
|
drp_den_i => drp_den_i,
|
drp_den_i => drp_den_i,
|
drp_dwe_i => drp_dwe_i,
|
drp_dwe_i => drp_dwe_i,
|
drp_daddr_i => drp_daddr_i,
|
drp_daddr_i => drp_daddr_i,
|
drp_di_i => drp_di_i,
|
drp_di_i => drp_di_i,
|
drp_drdy_i => drp_drdy_i,
|
drp_drdy_o => drp_drdy_o,
|
drp_drpdo_i => drp_drpdo_i,
|
drp_drpdo_o => drp_drpdo_o,
|
tx_disable => tx_disable,
|
|
pma_pmd_type => "111",
|
pma_pmd_type => "111",
|
gt0_eyescanreset => '0',
|
tx_disable => tx_disable
|
gt0_eyescandataerror => open,
|
|
gt0_txbufstatus => open,
|
|
gt0_rxbufstatus => open,
|
|
gt0_eyescantrigger => '0',
|
|
gt0_rxcdrhold => '0',
|
|
gt0_txprbsforceerr => '0',
|
|
gt0_txpolarity => '1',
|
|
gt0_rxpolarity => '1',
|
|
gt0_rxprbserr => open,
|
|
gt0_txpmareset => '0',
|
|
gt0_rxpmareset => '0',
|
|
gt0_txresetdone => open,
|
|
gt0_rxresetdone => open,
|
|
gt0_rxdfelpmreset => '0',
|
|
gt0_rxlpmen => '0',
|
|
gt0_dmonitorout => open,
|
|
gt0_rxrate => (others => '0'),
|
|
gt0_txprecursor => (others => '0'),
|
|
gt0_txpostcursor => (others => '0'),
|
|
gt0_txdiffctrl => "1110"
|
|
|
|
);
|
);
|
|
|
drp_gnt <= drp_req;
|
drp_gnt <= drp_req;
|
drp_den_i <= drp_den_o;
|
drp_den_i <= drp_den_o;
|
drp_dwe_i <= drp_dwe_o;
|
drp_dwe_i <= drp_dwe_o;
|