OpenCores
URL https://opencores.org/ocsvn/fade_ether_protocol/fade_ether_protocol/trunk

Subversion Repositories fade_ether_protocol

[/] [fade_ether_protocol/] [trunk/] [stable_jumbo_frames_version/] [fpga/] [src/] [kc705/] [ten_gig_eth/] [ten_gig_eth_pcs_pma_0.xci] - Diff between revs 40 and 42

Show entire file | Details | Blame | View Log

Rev 40 Rev 42
Line 5... Line 5...
  unknown
  unknown
  1.0
  1.0
  
  
    
    
      ten_gig_eth_pcs_pma_0
      ten_gig_eth_pcs_pma_0
      
      
      
      
 
        0
 
        ten_gig_eth_pcs_pma_0
 
        100.00
 
        kintex7
 
        X0Y0
 
        32
 
        0
 
        false
 
        false
 
        false
 
        false
 
        false
 
        false
 
        clk0
 
        156
 
        10
 
        ten_gig_eth_pcs_pma_0_gt
        ten_gig_eth_pcs_pma_0
        ten_gig_eth_pcs_pma_0
 
        100.00
 
        None
 
        X0Y0
        false
        false
 
        clk0
 
        156.25
 
        1
 
        Time_of_day
 
        true
 
        false
 
        false
        BASE-R
        BASE-R
        64bit
        64bit
        GTHE3
 
        false
 
        false
        false
        false
        false
        None
        10Gig
        Time_of_day
        GTH
        1
 
        true
 
        X0Y0
 
        clk0
 
        kintex7
 
        ten_gig_eth_pcs_pma_0
 
        false
 
        false
 
        false
 
        false
 
        false
 
        false
 
        0
 
        0
 
        32
 
        ten_gig_eth_pcs_pma_0_gt
 
        X0Y0
 
        clk0
 
        kintex7
        kintex7
 
        xilinx.com:kc705:part0:0.9
        xc7k325t
        xc7k325t
        ffg900
        ffg900
        -2
 
        C
 
        
 
        VHDL
        VHDL
 
        
        MIXED
        MIXED
 
        -2
 
        
        TRUE
        TRUE
        TRUE
        TRUE
        xilinx.com:kc705:part0:0.9
        IP_Flow
 
        7
        TRUE
        TRUE
        2014.4
 
        1
 
        OUT_OF_CONTEXT
 
        
 
        .
        .
 
        
        .
        .
 
        2016.4
 
        OUT_OF_CONTEXT
      
      
    
    
  
  
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.