OpenCores
URL https://opencores.org/ocsvn/ft816float/ft816float/trunk

Subversion Repositories ft816float

[/] [ft816float/] [trunk/] [test_bench/] [i2df128_tb.sv] - Diff between revs 62 and 78

Show entire file | Details | Blame | View Log

Rev 62 Rev 78
Line 79... Line 79...
        count <= 0;
        count <= 0;
end
end
else
else
begin
begin
  if (adr==0) begin
  if (adr==0) begin
    outfile = $fopen("d:/cores2022/rf6809/rtl/dfpu/test_bench/i2df128_tvo.txt", "wb");
    outfile = $fopen("f:/cores2022/float/dfpu/test_bench/i2df128_tvo.txt", "wb");
    $fwrite(outfile, "s ------ bin ------  ------ flt ------  \n");
    $fwrite(outfile, "s ------ bin ------  ------ flt ------  \n");
  end
  end
        count <= count + 1;
        count <= count + 1;
        if (count > 140)
        if (count > 140)
                count <= 1'd1;
                count <= 1'd1;
Line 98... Line 98...
        end
        end
        if (adr==4) begin
        if (adr==4) begin
                bin <= 128'd1000;
                bin <= 128'd1000;
        end
        end
        if (adr==5) begin
        if (adr==5) begin
 
                bin <= 128'd1000000;
 
        end
 
        if (adr==6) begin
                bin <= 128'd12345678;
                bin <= 128'd12345678;
        end
        end
        if (count==140) begin
        if (count==140) begin
        $fwrite(outfile, "%c %h\t%h\n", adr[11] ? "s" : "u", bin, flt);
        $fwrite(outfile, "%c %h\t%h\n", adr[11] ? "s" : "u", bin, flt);
                adr <= adr + 1;
                adr <= adr + 1;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.