URL
https://opencores.org/ocsvn/galois_lfsr/galois_lfsr/trunk
[/] [galois_lfsr/] [trunk/] [rtl/] [user.vhdl] - Diff between revs 3 and 5
Go to most recent revision |
Show entire file |
Details |
Blame |
View Log
Rev 3 |
Rev 5 |
Line 47... |
Line 47... |
)
|
)
|
);
|
);
|
port(
|
port(
|
/* Comment-out for simulation. */
|
/* Comment-out for simulation. */
|
--clk,reset:in std_ulogic;
|
--clk,reset:in std_ulogic;
|
msg:in unsigned(tapVector'length-1 downto 0):=9x"57"; -- Should be (tapVector'length downto 0). TODO report ModelSim bug.
|
msg:in unsigned(tapVector'high downto 0):=9x"57";
|
crc32:out unsigned(31 downto 0):=(others=>'0')
|
crc32:out unsigned(31 downto 0):=(others=>'0')
|
);
|
);
|
end entity user;
|
end entity user;
|
|
|
architecture rtl of user is
|
architecture rtl of user is
|
© copyright 1999-2024
OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.