OpenCores
URL https://opencores.org/ocsvn/galois_lfsr/galois_lfsr/trunk

Subversion Repositories galois_lfsr

[/] [galois_lfsr/] [trunk/] [rtl/] [user.vhdl] - Diff between revs 5 and 6

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 5 Rev 6
Line 40... Line 40...
 
 
entity user is
entity user is
        generic(
        generic(
                parallelLoad:boolean:=false;
                parallelLoad:boolean:=false;
                tapVector:boolean_vector:=(
                tapVector:boolean_vector:=(
                        /* Example polynomial from Wikipedia. */
                        /* Example polynomial from Wikipedia:
 
                                http://en.wikipedia.org/wiki/Computation_of_cyclic_redundancy_checks
 
                        */
                        0|1|2|8=>true, 7 downto 3=>false
                        0|1|2|8=>true, 7 downto 3=>false
                )
                )
        );
        );
        port(
        port(
                /* Comment-out for simulation. */
                /* Comment-out for simulation. */

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.